formality 命令/变量的使用及各种设置

来源:互联网 发布:2014年进出口数据 编辑:程序博客网 时间:2024/06/11 16:54

1、set_direction

       unresolvedblack box的pins会被判断为inout,对该net来说,可能就会引入driver逻辑,而对另一个设计r/i则可能没有,这样就会引起failure。

       解决:通过该命令可以解决

注意:该命令应该是只能应用于顶层的port或者black_box的pins上,即,若某design非顶层、非black_box,则会报错、

Error:Cannot set direction of port ‘i:/WORK/misc_tst_pads/TCK’.Design ‘misc_tst_pads’ is not a black box or the port specified is not atop-level port.(FM-035)

2、black_box设置方法

当有多个instances需要设置或多个instance的pins需要设置时,有两种方式:

一、绝对路径+instance名字;

二、采用find_designs/cellsr:/*/*,注意:尤其在设计到直接例化库或IP的情况时,不用使用r:/WORK/*而是要使用r:/*/*,当然这种前前提是按默认方式读入库和IP,若指定了库或IP编译进哪个目录,则使用哪个。

3、compare_rule的使用



4、库读入时

通常来说库读入先于RTL和netlist的读入。在读入libs时若不区分container也不定义container时,先读入libs后读入RTL和netlist,若采用“read_db -r”或read_verilog -r(i方式同样)读入libs时,必须要等当前container的设计读入并且设置顶层成功(即link成功)才可进行另一个container,否则会报link error

原创粉丝点击