心电信号滤波前后对比
来源:互联网 发布:电子墨水屏软件 编辑:程序博客网 时间:2024/04/26 08:26
顶层代码:
module lsm_top( clk_i, rst_n, data_i, data_i_p, data_i_x, data_i_y, data_o, data_o_p);input wire clk_i;input wire rst_n;input wire[15:0] data_i;reg [9:0] address;input [31:0] data_i_p;input wire [31:0] data_i_x;input wire [31:0] data_i_y;output wire[31:0] data_o;output wire[31:0] data_o_p;reg clk;wire[31:0] cos_i;wire[31:0] sin_i;(*IOB="true"*)reg [2:0] cnt;always @(posedge clk_i or negedge rst_n ) if(!rst_n ) cnt<=3'd0;else cnt<=cnt+1'd1; always @(posedge clk_i or negedge rst_n ) if(!rst_n) clk<=1'd0; else if(cnt==4'd0) clk<=~clk;lsm_lsb2v_inst1(.rst_n(rst_n),.clk(clk_i),.cos_i(32'h6964851E),.data_i(data_i),.sin_i(32'd0),.data_o(data_o));endmodule
Modelsim仿真:
阅读全文
0 0
- 心电信号滤波前后对比
- 心音与心电信号分析之一--6.26--心音信号数字滤波
- 心电信号调理电路设计
- 医疗电生理信号处理 心电信号 脑电信号
- 基于matlab的心电信号预处理
- 基于matlab的心电信号预处理
- 基于MATLAB的心电信号预处理
- nginx使用前后对比
- Cocos2dx-- 3.0前后对比
- tomcat7 优化前后对比
- 对象修改前后对比
- 图像滤波处理对比
- Android 反编译:加固前后对比
- SQLServer 重建索引前后对比
- CardView使用前后对比图
- 心音与心电信号分析之一--6.26--心音信号概述
- ZT 诗句,关于结婚前后的对比
- 使用hibernate前后的效率对比
- Android自定义View — 圆环统计图表
- 手动 BASE64 quoted-printable
- Python类
- shell 函数
- C++笔记_封装篇(上)
- 心电信号滤波前后对比
- 详解大端模式和小端模式
- 随机森林和GBDT的区别
- 动态库与静态库混合连接
- 按照文件名称进行文件分类-Python
- NYOJ:62-笨小熊
- Android Studio单元测试基本流程记录
- UDP校验
- 杂项