【XC6SLX9 TQC144】50MHz系统时钟分频实现LED跑马灯
来源:互联网 发布:淘宝怎么打印电子发票 编辑:程序博客网 时间:2024/06/10 20:12
1.硬件
S6 Card开发板:XC6SLX9 TQC144芯片
2.功能
板子上8个LED按照二进制加法方式亮灭。
3.代码
`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Company: // Engineer: // // Create Date: 10:17:44 07/20/2017 // Design Name: // Module Name: test // Project Name: // Target Devices: // Tool versions: // Description: //// Dependencies: //// Revision: // Revision 0.01 - File Created// Additional Comments: ////////////////////////////////////////////////////////////////////////////////////module test( input clk,//56引脚 output [7:0] out//80-88引脚 );reg [7:0] out;reg [31:0]count;initial beginout = 8'h0;count = 0;endalways@(posedge clk)beginif(count == 50000000)//50MHz分频为1Hzbegincount = 0;out = out + 1;endelsecount = count + 1;if(out > 8'hff)out = 0;endendmodule
4.引脚约束
最上面的LED(D8)连接P80,从上至下依次为P80-P88。
阅读全文
0 0
- 【XC6SLX9 TQC144】50MHz系统时钟分频实现LED跑马灯
- 【XC6SLX9 TQC144】拨码开关实现4位加法器并使用LED显示
- 控制GPIO, 点亮led,实现跑马灯
- FPGA利用待分频时钟实现任意分频
- LED跑马灯
- 单片机LED跑马灯
- tiny6410 LED跑马灯
- LED跑马灯效果
- LED跑马灯_20160107
- LED跑马灯-库函数
- LED跑马灯-寄存器
- STM32入门开发--LED模块实现跑马灯
- LED跑马灯实验笔记
- mini2440 LED 跑马灯实验
- LED跑马灯之二
- LED跑马灯-位操作
- arm项目--LED跑马灯
- 对STM32系统时钟和分频的一点理解
- IntelliJ IDEA快捷键(常用)
- HttpClient简单实用
- 【脚本语言系列】关于Python基础知识兼容Python 2.x+Python 3.x,你需要知道的事
- ThreadPool.QueueUserWorkItem 方法 (WaitCallback)
- 译密码
- 【XC6SLX9 TQC144】50MHz系统时钟分频实现LED跑马灯
- PHP(3)--CI框架的使用
- 不带权的线段覆盖问题
- linux系统用户以及用户组管理
- ubuntu+win10双系统,调整分区大小后进入了emergency mode
- MS SQL Server分析数据库的I/O性能
- Ruby On Rails 基础一常用函数的使用
- 实现Activity和Fragment之前通信
- git和mvn开发常用基本命令