Modelsim SE-64 10.4建立UVM环境

来源:互联网 发布:mac音频播放器 编辑:程序博客网 时间:2024/06/07 08:24

Modelsim建立UVM环境

Modelsim SE-64 10.4 中建立UVM环境,使用的UVM是UVM1.1d

如果安装的是Modelsim SE-64 10.4版本的话,UVM的库已经给编译好了,生成了.dll 文件,共UVM验证使用

  1. 在modelsim的安装目录下的D:\modeltech64_10.4\uvm-1.1d下,就有一个uvm_dpi.dll
    这里写图片描述

  2. 以hello_world.sv这个例子为例,说明验证环境的搭建。在这个文件目录下,有3个文件
    这里写图片描述

    Hello_world.sv: 验证的代码
    Run.bat: windows运行的脚本
    Sim.do: modelsim运行的脚本文件

  3. 对于hello_world.sv,该程序,就是输出一个hello world
    这里写图片描述

  4. 对于rum.bat,就一句,就是调用modelsim,使用-do选项,当 modelsim启动后,自动执行sim.do脚本

    这里写图片描述

  5. 对于sim.do文件
    这里写图片描述

    代码
    set UVM_DPI_HOME C:/software/modeltech64_10.4/uvm-1.1d/win64 (注意是/不是\)
    vlib work
    vlog -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF hello_world.sv
    vsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.hello_world_example
    run 100
    设置环境变量UVM_DPI_HOME,指定modelsim下的UVM1.1d的DPI的位置
    建立work library
    编译hello_world.sv代码,通过-L 指定编译需要的几个library
    执行仿真,通过-sv_lib选项,执行UVM1.1d的uvm_dpi.dll,然后针对于哪一个module进行仿真
    运行100ns

  6. 执行,也很简单了,直接对run.bat双击
    这里写图片描述

之前用过Modelsim se 10.0, 但是不知道出什么错了, 最近试了一下最新的10.4版本,成功了 最后一行显示了 Hello World! lee-2017-7-25

hello_world.sv文件

`include "uvm_pkg.sv"module hello_world_example;    import uvm_pkg::*;    `include "uvm_macros.svh"   initial begin      `uvm_info ("info1","Hello World! lee-2017-7-25", UVM_LOW)    end endmodule: hello_world_example

sim.do文件

set UVM_DPI_HOME D:/modeltech64_10.4/uvm-1.1d/win64vlib workvlog -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF hello_world.svvsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.hello_world_examplerun 100

rum.bat文件

vsim -do sim.do

工具下载
Modelsim SE-64 10.4破解安装 百度云资料盘

原创粉丝点击