Modelsim SE-64 10.4建立UVM环境
来源:互联网 发布:mac音频播放器 编辑:程序博客网 时间:2024/06/07 08:24
Modelsim建立UVM环境
在Modelsim SE-64 10.4 中建立UVM环境,使用的UVM是UVM1.1d
如果安装的是Modelsim SE-64 10.4版本的话,UVM的库已经给编译好了,生成了.dll 文件,共UVM验证使用
在modelsim的安装目录下的D:\modeltech64_10.4\uvm-1.1d下,就有一个uvm_dpi.dll
以hello_world.sv这个例子为例,说明验证环境的搭建。在这个文件目录下,有3个文件
Hello_world.sv: 验证的代码
Run.bat: windows运行的脚本
Sim.do: modelsim运行的脚本文件对于hello_world.sv,该程序,就是输出一个hello world
对于rum.bat,就一句,就是调用modelsim,使用-do选项,当 modelsim启动后,自动执行sim.do脚本
对于sim.do文件
代码
set UVM_DPI_HOME C:/software/modeltech64_10.4/uvm-1.1d/win64 (注意是/不是\)
vlib work
vlog -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF hello_world.sv
vsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.hello_world_example
run 100
设置环境变量UVM_DPI_HOME,指定modelsim下的UVM1.1d的DPI的位置
建立work library
编译hello_world.sv代码,通过-L 指定编译需要的几个library
执行仿真,通过-sv_lib选项,执行UVM1.1d的uvm_dpi.dll,然后针对于哪一个module进行仿真
运行100ns- 执行,也很简单了,直接对run.bat双击
之前用过Modelsim se 10.0, 但是不知道出什么错了, 最近试了一下最新的10.4版本,成功了 最后一行显示了 Hello World! lee-2017-7-25
hello_world.sv文件
`include "uvm_pkg.sv"module hello_world_example; import uvm_pkg::*; `include "uvm_macros.svh" initial begin `uvm_info ("info1","Hello World! lee-2017-7-25", UVM_LOW) end endmodule: hello_world_example
sim.do文件
set UVM_DPI_HOME D:/modeltech64_10.4/uvm-1.1d/win64vlib workvlog -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF hello_world.svvsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.hello_world_examplerun 100
rum.bat文件
vsim -do sim.do
工具下载
Modelsim SE-64 10.4破解安装 百度云资料盘
- Modelsim SE-64 10.4建立UVM环境
- modelsim 10.4 UVM 仿真流程
- UVM系统验证基础知识0(modelsim搭建第一个UVM环境及源码下载 )
- modelsim SE-64 10.4的tab缩进设置
- ModelSim SE 10.0a建立并调…
- 搭建Modelsim SE仿真环境-使用do文件仿真
- 搭建Modelsim SE仿真环境-使用do文件仿真
- 搭建Modelsim SE仿真环境-使用do文件仿真
- Modelsim SE 安装破解
- modelsim-win64-10.4-se 下载、安装、破解全攻略
- ModelSim-win64-10.4-se 下载、安装、破解全攻略
- modelsim-win64-10.4-se 下载、安装、破解全攻略
- modelsim-win64-10.4-se 下载、安装、破解全攻略
- ModelSim SE 10.0a建立并调用Xilinx ISE 13.1仿真库详解
- ModelSim SE简明操作指南
- ModelSim PE, DE, SE, XE
- ModelSim PE, DE, SE, XE
- 建立Modelsim仿真步骤
- 【模板】【POJ2891】扩展中国剩余定理
- CentOS 7安装和配置ssh
- POJ
- maven+ssm练习(级别评定)
- es6:let和var的区别
- Modelsim SE-64 10.4建立UVM环境
- ssm单文件下载ftp服务器到本地
- 解决浏览器记住账户密码 运用readonly属性
- Virustotal——上传样本保存扫描结果
- EasyUI学习总结(二)——EasyUI布局
- java注解应用实例
- 输出某个文件夹下面的所有文件名 后缀 及路径(linux)
- C语言:常用字符串操作
- MVP项目研究——BaseActivity实现基本数据的绑定与释放