当 IDENTITY_INSERT 设置为 OFF 时,不能为表中的标识列插入显式值

来源:互联网 发布:人工智能项目 编辑:程序博客网 时间:2024/06/01 09:23

http://blog.csdn.net/gane_cheng/article/details/52232238

{“当 IDENTITY_INSERT 设置为 OFF 时,不能向表 ‘ClassInfo’ 中的标识列插入显式值”}

###允许将显式值插入表的标识列中 ON-允许  OFF-不允许set identity_insert ClassInfo ON;insert into ClassInfo(ID,ProductID,ClassName,InvokeCode,Enabled) values(500,1,'InlineShapes(i)','Application.ActiveDocument.InlineShapes(i)',1);insert into ClassInfo(ID,ProductID,ClassName,InvokeCode,Enabled) values(501,1,'Endnotes','Application.ActiveDocument.Endnotes',1);set identity_insert ClassInfo OFF;
阅读全文
0 0
原创粉丝点击