FIFO 位宽转换

来源:互联网 发布:网络禁书txt下载 编辑:程序博客网 时间:2024/05/18 15:28

使用Xilinx FIFO进行位宽转换需要注意的问题:

1、高位宽转换为低位宽:

高位先输出,例:

32bit转为8bit :

wr_data = 0x01020304

输出顺序为:

rd_data0 =0x01,

rd_data1 =0x02,

rd_data2 =0x03,

rd_data3 =0x04;


2、低位宽转高位宽:

先进的在高位,例:

8bit转32bit,写入顺序为:

wr_data0 =0x01,

wr_data1 =0x02,

wr_data2 =0x03,

wr_data3 =0x04;

读出顺序:

rd_data = 0x01020304


原创粉丝点击