SystemCyu语言结构

来源:互联网 发布:php整站防注入程序 编辑:程序博客网 时间:2024/06/16 09:31

1.头文件

#include”systemc”只包含sc_core和sc_dt,sc_core是 SystemC 基本的内核空间,sc_dt则定义了SystemC的最基本数据类型;

#include “systemc.h”包括了除sc_core和sc_dt外的其它仿真中所需要的名字。

2.模块

模块声明---使用关键字SC_MODULE来声明一个模块,等效于VHDL的entity,如

SC_MODULE(sram8x256)

{…

};

SystemC的一个模块实际上是一个类,所以它有构造函数和析构函数,构造函数用SC_CTOR标识。

3.端口

端口与信号的绑定…

4.systemC时钟---5个重载的构造函数。

5.基本数据类型

支持所有C++数据类型,及systemC专有数据类型。

6.进程

systemC的基本进程有三种:SC_METHOD;SC_THREAD;SC_CTHREAD.

在SystemC中,一个进程中不能包含或直接调用其它进程,但进程可调用非进程的函数和方法。

A.方法进程SC_METHOD,调用后立刻返回,进程中不能使用wait();

B.线程进程SC_THREAD,能够被挂起和重新激活,用wait()语句挂起;

C.钟控线程进程SC_CTHREAD,是一种特殊的线程进程,只能在时钟的上升沿或下降沿被触发/激活。

PS:wait()只能用于线程进程和钟控线程进程,作用为将进程挂起等待下一个事件发生重新激活被挂起的进程。

sensitive---敏感表(SC_METHOD进程和SC_CTHREAD进程必有),必须放在构造函数中,格式:sensitive<<敏感信号<<敏感信号N,若不希望进程在仿真的0时刻被执行,可使用dont_initialize(),仅对SC_THREAD和SC_METHOD有效.

7.仿真控制

sc_start()函数控制所有时钟的产生并在适当的时刻激活SystemC调度器,sc_stop()函数控制仿真停止.

---------------------------------------------------------------------------------------------------------------------------------

SystemC是解决系统级设计挑战的设计工具。在1999年的11月,世界最主要的EDA工具开发商、IP供应商、半导体厂家、系统和嵌入式软件公司宣布推出了Open SystemC Initiative(OSCI),同时提供了一个C++建模平台、即SystemC。

SystemC是由一组C++类库所组成的建模平台,加入了一个仿真核,可以在系统级、行为描述级和寄存器转换级支持硬件建模。C/C++编程语言被系统结构硬件工程师和软件工程师广泛应用,但这些语言却不能够准确描述硬件建模的概念。SystemC提供了一种用扩展的C++来加入硬件建模结构的方法和途径。

SystemC基于C++语言,C++语言是比较流行的计算机语言之一,这对系统设计师和软件工程师来讲是比较熟悉的语言。SystemC既是一个C++类库,又是一种设计方法,可以用来有效地创建软件精确算法、硬件结构模型,以及SOC与系统级设计的接口,可以在各个抽象层次上对系统和硬件建模。软件算法和接口规范用C或者C++语言写成,C++程序描述了系统的行为,提供了紧凑、有效的系统描述所必需的控制和调用数据。由于大多数设计者对于这些语言都很熟悉,并且有很大数量的开发工作都与之相关联,因而可利用资源比较丰富。

C++是一种基于对象的编程语言,这使得可以通过“类”来扩展语言,而不需要增加新的语法结构。SystemC提供了这些必要的“类”,并且允许设计者继续使用所熟悉的C++语言及其开发工具。

SystemC语言的发展到当前大致经历了两个阶段:SystemC1.0和SystemC2.0。与HDL语言类似,SystemC1.0可以用来进行硬件描述。2001年SystemC2.0的推出使SystemC成为真正的系统级设计语言,能够用来对SoC体系结构进行更加自然和有效的描述。这样就使SystemC语言涵盖了从系统概念直到实现的针对系统软,硬件建模的能力。发布SystemC2.0语言的一个主要目的是使用户可以在(相对于RTL)更高的层次对SoC系统进行描述。SystemC2.0引入了新的特性、概念以支持更方便、有效地对系统进行建模,包括channel,interface,event等。HDL语言的RTL模型很适合于对一个特定的硬件模型进行建模,但通常很难高效率的对构成整个SoC的各模块之间的通讯,同步机制进行描述。所以Transaction Level(交易级)的建模思想被引入到了SoC设计流程之中。

SystemC的基本类库有四种:模块(Module)、进程(Process),端口/信号(Port/Signal)和数据类型(Data Types)。其中模块(Module)是SystemC中最基本的结构单元,其内可以包含端口、信号、进程或子模块。在设计过程中可以把一个复杂的系统划分成若干简单的子模块,进行结构化设计。它可以隐含内部的操作,仅通过端口和外部进行通信连接。

进程(Process)有三类:Method Process, Thread Process和Clocked Thread Process。强调一下,这里的进程和操作系统中的进程不是同一概念,这里进程是SystemC中的基本执行单元,它用来模拟目标设备或系统的行为。其中Method Process类似模块中的成员函数,体现模块的功能特性。当有事件(信号值发生变化)发生时,Method Process开始执行,一直到操作完,操作期间不能被挂起或无限循环运行:Thread Process和Method Proces:的主要区别在于进程执行过程中可以被挂起和再次被激活,直到运行完毕。Clocked Thread Proces是Thread Process的一个子集,主要对时序电路和同步操作进行描述,它在时钟边沿触发时开始运行。端口/信号(Port/Signal)类主要负责模块间的相互连接和通信。其中端口有输入、输出和双向输入/输出3种类型。数据类型(Data Types)继承了C++中的所有数据类型,同时为描述硬件的物理信息扩展了时间、延时和逻辑等物理数据类型。

SystemC的体系构架如图2-1所示,有如下的特征:

1)  所有的SystemC都是基于C++的。

2)  上层的构架都是很明显地建立在下层构架的基础之上的。

3)  SystemC内核提供了一个用于系统体系结构、并行、通信和同步时钟的模块(SC_MODULE)。

4)  完全支持内核描述以外的数据类型、用户定义数据类型。

5)  通常使用的通信方式,如信号、FIFO等都可以在内核的基础上建立,经常使用的计算模块也可以在内核基础上建立。

6)  如果需要,较低层的内容可以不依赖上层而直接使用。

图2-1 SystemC 语言结构图

 

在 SystemC 中,硬件模块的引脚是通过端口类对象来进行描述的,而连线则用到了信号类,进程则是用来描述硬件模块的并发性的结构。利用 SystemC 描述硬件系统的过程如下:

1 定义模块结构,利用 SystemC 的模块类来描述一个模块;

2 定义引脚,利用端口类定义特定模块的引脚,例如时钟引脚,数据引脚等。

3 定义进程,模块的功能描述主要是通过进程来实现,在 SystemC 中进程具有并发性。还需要说明的是进程的执行需要一定的触发条件,如可以通过引脚值的改变来触发进程。

4 通过上面的三个步骤基本上完成了对一个硬件模块的描述,接下来需要实例化模块,模块实际上是一个类,实例化的过程在 SystemC 的主函数中进行,在主函数中需要定义一些信号对象,这些信号对象用于连接系统中各个模块的引脚,接着创建各个模块的对象,把对象中对应的引脚与前面定义的信号对象相连接,信号对象和引脚都有数据类型,例如可以在程序中定义整型,布尔型等数据类型的信号或引脚对象。只有相同数据类型的引脚和信号才能进行连接。通过上面的四个步骤就可以完成一个系统的设计,后面的工作就是仿真运行和验证整个系统的正确性。

在系统开发初期,可以用UML对系统需求和架构进行分析和描述,算法部分可以使用Matlab、SPW、CCSS等进行分析,然后利用SystemC进行交易级别建模,划分系统软硬件,对目标系统进行比较详细的划分,然后再利用SystemC完成后续流程。

原创粉丝点击