74LS181 ALU 功能介绍

来源:互联网 发布:阿里云市场 编辑:程序博客网 时间:2024/04/30 17:31

74LS181 功能介绍

工作环境

  • win10家庭版
  • QuartusII13.0

目录

  • 74LS181 功能介绍
    • 工作环境
    • 目录
    • 功能介绍
      • 简介
      • 引脚介绍
        • 数据引脚
        • 控制引脚
      • 功能表

功能介绍

简介

  74LS181 ALU是主要进行算术和逻辑运算的电路,可以作为处理器进行运算的核心部件。它对两个4位操作数进行逻辑或者算术运算等。74LS181在QuartusII中是一个老式74元件库中,它可以与74LS182级连为先行进位加法器。

引脚介绍

74ls181截图
74ls181芯片总共有22个引脚。

数据引脚

  • 8个数据输入端,A0m、A1n、A2n、A3n,B0n、B1n、B2n、B3n,(其中A3和B3是高位)。
  • 4个二进制输出端F0、F1、F2、F3,以四位二进制形式输出运算的结果。
  • CN端处理进入芯片前进位值,CN4记录运算后的进位。
  • GN先行进位产生端。PN先行进位传递函数。

控制引脚

  • 4个控制端,S0、S1、S2、S3,控制两个四位输入数据的运算,例如加、减、与、或。
  • M控制芯片的运算方式,包括算术运算和逻辑运算。

功能表

S3 S2 S1 S0 M = H
Logic Functions M = L
Arithmetic Operations /Cn = H /Cn = L L L L L F = /A F = A F = A plus 1 L L L H F = /(A + B) F = A + B F = ( A + B ) plus 1 L L H L F = (/A)B F = A + /B F = ( A + /B) plus 1 L L H H F = 0 F = minus 1 (2s Comp) F = ZERO L H L L F = /(AB) F = A plus A(/B) F = A plus A(/B) plus 1 L H L H F = /B F = ( A + B ) plus A(/B) F = ( A + B ) plus A(/B) plus 1 L H H L F = A xor B F = A minus B minus 1 F = A minus B L H H H F = A(/B) F = A(/B) minus 1 F = A(/B) H L L L F = /A+ B F = A plus AB F = A plus AB plus 1 H L L H F = /(A xor B) F = A plus B F = A plus B plus 1 H L H L F = B F = ( A + /B ) plus AB F = ( A + /B) plus AB plus 1 H L H H F = AB F = AB minus 1 F = AB H H L L F = 1 F = A plus A F = A plus A plus 1 H H L H F = A + /B F = ( A + B ) plus A F = ( A + B ) plus A plus 1 H H H L F = A + B F = ( A + /B) plus A F = ( A + /B) plus A plus 1 H H H H F = A F = A minus 1 F = A

注:+ 是或的意思 /是非 plus是加 xor是异或

原创粉丝点击