verilog中的关键字disable用法

来源:互联网 发布:php 正侧过滤ip 编辑:程序博客网 时间:2024/05/16 17:26
西安企云基创软件
disable语句可以退出任何循环,能够终止任何begin..end块的执行,用于仿真验证中。
例如
begin:one
for(i=1;i<5;i=i+1)
begin:two
if(a==0)
disable one; //从one这个begin..end 中跳出,终止了for
if(a==1)
disable two;//从two这个begin..end块中跳出,从本次循环中跳出
end
end
网上看到的下面这个例子,一个意思:
begin : Break
forever
begin : Continue
...
disable Continue; //
继续下一个迭代
...
disable Break; // 退出forever 循环
...
end // 继续
end //

原创粉丝点击