使用开源软件进行Verilog HDL仿真-安装篇

来源:互联网 发布:android 网络测速原理 编辑:程序博客网 时间:2024/05/06 23:00

本系列文章介绍使用开源软件Icarus Verilog和gtkwave进行HDL前端仿真。

 

 


 

一、软件简介

 

 

  • Icarus Verilog
    Icarus Verilog 是一款开源的verilog仿真软件,具有一下特点:

    • 跨平台,Linux/BSD/AIX/Mac OSX/Windows
    • 编译型仿真软件
    • 支持IEEE1364-1995,IEEE1364-2001和IEEE1364-2005标准
    • 支持VPI(即PLI2.0)并实现了一个对标准VPI的扩展
    • 提供一个verilog到VHDL的语言转换器
  • gtkwave
    gtkwave是一款基于gtk+的轻量级波形查看软件,支持的格式有

    • 标准Verilog值变转储文件(VCD/EVCD)
    • interLaced eXtensible Trace文件(LXT/LXT2)
    • Verilog/VHDL Zipped Trace(VZT)
    • GHDL仿真器的转储文件(GHW)

    gtkwave也是跨平台的,它的跨平台特性来自于gtk+的跨平台特性

二、安装

 

  • Microsoft Windows
    在Windows平台下可以直接使用整合了iverilog和gtkwave的安装包。
    可以在icarus的主页上找到,地址为 http://bleyer.org/icarus/
    安装完之后应该确认二进制程序所在目录已经添加到环境变量PATH中。

    如果有Cygwin,那么可以在Windows环境下使用源代码进行编译。其方法与Xnix下面的编译方法几乎相同
    区别在于Cygwin的超级用户比较特殊,使用管理员登陆系统即可,没有必要使用sudo
  • Xnix(Linux/BSD/AIX/Mac OSX)

    下载源代码:

    • Icarus: http://iverilog.wikia.com/wiki/Installation_Guide
    • gtkwave: http://gtkwave.sourceforge.net/

  • 编译这两个软件需要基本的C/C++编译环境。Linux各发行版和Unix系统均有说明,此外还需要安装
    gtk+的开发包。在MacOSX中需要安装Xcode和gtk+的Mac OSX移植包。Xcode可以在苹果的官方网站得到,随机附赠光盘也有。gtk+的移植包推荐使用gtk+官方做好的dmg安装包,地址为 http://www.gtk-osx.org/ ,
    也可以自己编译,方法可以参照 http://sourceforge.net/apps/trac/gtk-osx/wiki/Build 。
    后者编译出的库更加“native”,例如菜单将位于桌面上的主菜单栏之中。

    编译环境安装好之后,就可以按照一般开源软件编译流程编译Icarus Verilog和gtkwave了。


    $tar zxfv yourappsrc.tar
    $cd yourappsrc
    $./configure [--prefix=/xxx/xxx]
    $make
    #make install

三、测试icarus

 

icarus verilog为命令行界面(CUI)程序。由于是编译型的仿真器,因此编译和仿真是分开的过程。
使用任意文本工具写一段verilog代码hello.v:

 

module tb;

initial begin
    $display("*************************");
    $display("hello world");
    $display("*************************");
end

endmodule



打开终端,编译代码:

 

$iverilog -o simv hello.v

 

参数的意思是,编译hello.v,并输出为可执行文件simv。如果没有-o的选项,将输出默认的a.out
如果编译成功,将不给出任何提示。运行仿真可执行文件进行仿真:

 

$./simv

*************************
hello world
*************************
$

 

 

 

原创粉丝点击