参数化模块库(LPM)的使用

来源:互联网 发布:上海市网络虚拟平台 编辑:程序博客网 时间:2024/06/16 14:00

LPM(Library Parameterized Modules)即参数化的宏功能模块库。应用这些功能模块库可以大大提高IC设计的效率。LPM标准在1990年被推出,1993年4月,LPM作为电子设计交换格式(EDIF)的附属标准,纳入了电子工业协会(EIA)的临时标准。

在MAX+PLUS II 和 Quartus II中调用LPM库函数非常方便,用户既可以在图形输入法中直接调用,也可以在HDL源文件中调用。

LPM库如表2-5所示。它是MAX+PLUS II 和 Quartus II 提供的可供调用的一些功能模块。

MAX+PLUS II  的LPM库所在的目录/maxplus2/max2lib/mega_lpm。
 
Quartus II  的LPM库所在的目录/altera/quartus60/libraries/megas。

表2-5LPM库单元列表

模块分类宏单元简要说明门单元模块lpm_and参数化与门 lpm_bustri参数化三态缓冲器 lpm_clshift参数化组合逻辑移位器 lpm_constant参数化常数产生器 lpm_decode参数化译码器 lpm_inv参数化反向器 

 

lpm_mux参数化多路选择器 busmux参数化总线选择器 mux多路选择器 lpm_or参数化或门 lpm_xor参数化异或门算术运算模块lpm_abs参数化绝对值运算 lpm_add_sub参数化的加/减法器 lpm_compare参数化比较器 lpm_counter参数化计数器 lpm_mult参数化乘法器存储器模块lpm_ff参数化D触发器 

 

lpm_latch参数化锁存器 lpm_ram_dq输入输出分开的参数化RAM lpm_ram_io输入输出复用的参数化RAM lpm_rom参数化ROM lpm_shitreg参数化移位寄存器 csfifo参数化先进先出队列 csdpram参数化双口RAM其它功能模块pll参数化锁相环电路 ntsc<NTSC图象控制信号产生器
 
 
 
附录:用LPM库单元构造一个四位加法器

     下面介绍利用LPM库单元来构造一个四位加法器的方法。

lpm_add_sub是参数化加/减法器,利用它既可以设计加法器,也可以设计减法器。先在图形编

辑器中进行编辑,如图2-7所示。

图2-7参数化的加/减法lpm_add_sub

      指定它的参数值如下,

      LPM_WIDTH = 4(模块信号宽度为4位)

      LPM_DIRECTION = “ADD”(将模块设置为加法器)

      LPM_REPRESENTATION = “UNSIGNED”(将输入输出数据设为无符号数)

     然后还要设定端口。这里只使用lpm_add_sub库单元的四个端口,分别是数据输入端

data-a[]和data-b[]、数据输出端result[]和进位输出端cout。仿真结果如下图2-8所示。

图2-8lpm_add_sub设置为加法器时的仿真图形