使用风格1的信号发生器
来源:互联网 发布:我的世界工业手机版js 编辑:程序博客网 时间:2024/05/09 15:06
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity zhuantaiji is
Port ( clk : in std_logic;
wave : out std_logic := '0');
-- reset : in std_logic);
end zhuantaiji;
architecture Behavioral of zhuantaiji is
type state is(state_init,state0, state1, state2,state3,state4,state5,state6);
signal pr_state : state := state_init; --为什么此处定义为信号
begin
-- pr_state <= state0;
-- wave <= '0';
process (clk)
begin
case pr_state is
when state_init =>
if(clk='1') then
wave <= '0';
pr_state <= state0;
end if;
when state0 =>
if(clk='1') then
wave <= '1';
pr_state <= state1;
end if;
when state1 =>
if(clk = '1') then
wave <= '0';
pr_state <= state2;
end if;
when state2 =>
if(clk = '1') then
wave <= '1';
pr_state <= state3;
end if;
when state3 =>
if(clk = '1') then
wave <= '1';
pr_state <= state4;
end if;
when state4 =>
if(clk = '1') then
wave <= '1';
pr_state <= state5;
end if;
when state5 =>
if(clk = '1') then
wave <= '0';
pr_state <= state6;
end if;
when state6 =>
if(clk = '1') then
wave <= '0';
-- pr_state <= state7;
end if;
-- when state7 =>
-- if(clk = '1') then
-- wave <= 0;
-- pr_state <= state2;
-- end if;
end case;
end process;
end Behavioral;
- 使用风格1的信号发生器
- 采用风格2的信号发生器
- 信号发生器和示波器的原理和使用
- AD9850使用小结--dds信号发生器的简易制作
- 低频信号发生器的例程
- 信号发生器
- 基于单片机的信号发生器设计
- 基于单片机的信号发生器例程
- 关于信号发生器的相位误差
- 基于ATMEGAL16单片机的信号发生器
- 新手的第一块基于FPGA的设计-脉冲信号发生器---1
- 基于Labview的信号发生器的设计
- 基于Labview的信号发生器的设计 [转】
- 求《信号发生器功能程序设计》的程序!!!
- 对于脉冲信号发生器的一些总结
- 基于FPGA的高精度数字信号发生器
- [置顶]基于51单片机+DAC0832的信号发生器
- MFC使用的风格
- java.lang.IllegalStateException: getOutputStream() has already been called for this response
- Uinx Shell by Example,3rd Edition第5,6章 awk 章节的答案
- VSS2005与VS2008闹矛盾的解决办法!
- 开户外用品店,谁先行动谁就能偿到头啖汤!
- 2、从传引用和传地址方法交换两个数
- 使用风格1的信号发生器
- 删除黑莓模拟器上已经安装的程序
- C语言读取汉字字模
- 名家集锦之--oracle 系统参数优化
- 使程序界面具有XP风格(在VS2003和VS2005中)
- C语言内存管理内幕
- ORACLE视图的修改
- java.sql.Blob、Clob写入文件
- 程序外包群 93650878