Verilog的关键字及意义(未完持续编辑中)
来源:互联网 发布:白葡萄酒 品牌 知乎 编辑:程序博客网 时间:2024/06/06 00:55
always
ifnone
rnmos
and
incdir
rpmos
assign//标记赋值
include
rtran
automatic
initial
rtranif0
begin
inout
rtranif1
buf
input//输入
scalared
bufif0
instance
showcancelled
bufif1
integer
signed
case
join
small
casex
large
specify
casez
liblist
specparam
cell
library
strong0
cmos
localparam
strong1
config
macromodule
supply0
deassign
medium
supply1
default
module
table
defparam
nand
task
design
negedge//下降沿
time
disable
nmos
tran
edge
nor
tranif0
else//相反
noshowcancelled
tranif1
end
not
tri
endcase
notif0
tri0
endconfig
notif1
tri1
endfunction
or
triand
endgenerate
output//输出
trior
endmodule
parameter
trireg
endprimitive
pmos
unsigned
endspecify
posedge//上升沿
use
endtable
primitive
vectored
endtask
pull0
wait
event
pull1
wand
for//循环
pulldown
weak0
force
pullup
weak1
forever
pulsestyle_onevent
while
fork
pulsestyle_ondetect
wire
function
rcmos
wor
generate
real
xnor
genvar
realtime
xor
highz0
reg//寄存器变量
highz1
release
if、、条件
repeat
- Verilog的关键字及意义(未完持续编辑中)
- wince下的 类型转换(未完陆续编辑中)
- php中static关键字的用法(未完)
- php中static关键字的用法(未完)
- 持续集成的意义及hudson&maven
- PCL的学习必要性、重要性、意义及最初——持续修改中
- java中53个关键字(含2个保留字)的意义及使用方法
- 数学符号的意义总结(未完待续)
- java中关键字volatile的作用 编辑
- static关键字的意义
- [C#-1] C#中event的关键字存在的意义
- java 中 transient 关键字意义
- C#中ref和out关键字的意义
- 简单谈一谈php中var关键字的作用和意义
- Android中WebView的意义及用法
- Android中Service的意义及用法
- Android中SQLite的意义及用法
- Android中ContentProvider的意义及用法
- JAVA基础知识精华总结
- 036_《Inside 深入核心VCL架构剖析》
- include/ansi.h
- 037_《Delphi7高效数据库程序设计》
- 防止程序重複開啟--CreateMutex
- Verilog的关键字及意义(未完持续编辑中)
- 038_《Borand传奇》
- Error #2044: Unhandled IOErrorEvent:. text=Error #2031: Socket Error.
- ubuntu删除当前系统中的旧版本的内核
- 039_《Delphi6.Kylix2.SOAP.Web Service程序设计篇》
- 哈哈
- 服务器实现心跳机制的两种策略
- RBAC角色权限模型设计
- Verilog HDL语法基础