pwm 调速 原理

来源:互联网 发布:c语言编程大赛 编辑:程序博客网 时间:2024/04/28 04:10

 

PWM调速原理

     本文详细阐述pwm的原理: PWMPulse Width Modulation)控制——脉冲宽度调制技术,通过对一系列脉冲的宽度进行调制,来等效地获得所需要波形(含形状和幅值)。

PWM控制技术在逆变电路中应用最广,应用的逆变电路绝大部分是PWM型,PWM控制技术正是有赖于在逆变电路中的应用,才确定了它在电力电子技术中的重要地位。

1.PWM控制的基本原理
理论基础:
  冲量相等而形状不同的窄脉冲加在具有惯性的环节上时,其效果基本相同。冲量指窄脉冲的面积。效果基本相同,是指环节的输出响应波形基本相同。低频段非常接近,仅在高频段略有差异。

 

 

 

 

 

 

 1 形状不同而冲量相同的各种窄脉冲

面积等效原理:
  分别将如图1所示的电压窄脉冲加在一阶惯性环节(R-L电路)上,如图2a所示。其输出电流i(t)对不同窄脉冲时的响应波形如图2b所示。从波形可以看出,在i(t)的上升段,i(t)的形状也略有不同,但其下降段则几乎完全相同。脉冲越窄,各i(t)响应波形的差异也越小。如果周期性地施加上述脉冲,则响应i(t)也是周期性的。用傅里叶级数分解后将可看出,各i(t)在低频段的特性将非常接近,仅在高频段有所不同。

2 冲量相同的各种窄脉冲的响应波形

用一系列等幅不等宽的脉冲来代替一个正弦半波,正弦半波N等分,看成N个相连的脉冲序列,宽度相等,但幅值不等;用矩形脉冲代替,等幅,不等宽,中点重合,面积(冲量)相等,宽度按正弦规律变化。
SPWM
波形——脉冲宽度按正弦规律变化而和正弦波等效的PWM波形。

3 PWM波代替正弦半波

要改变等效输出正弦波幅值,按同一比例改变各脉冲宽度即可。
  PWM电流波: 电流型逆变电路进行PWM控制,得到的就是PWM电流波。
  PWM波形可等效的各种波形:
  直流斩波电路:等效直流波形
  SPWM波:等效正弦波形,还可以等效成其他所需波形,如等效所需非正弦交流波形等,其基本原理和SPWM控制相同,也基于等效面积原理。

2. PWM相关概念
占空比:就是输出的PWM中,高电平保持的时间与 该PWM的时钟周期的时间 之比

如,一个PWM的频率是1000Hz,那么它的时钟周期就是1ms,就是1000us,如果高电平出现的时间是200us,那么低电平的时间肯定是800us,那么占空比就是2001000,也就是说PWM的占空比就是15

分辨率也就是占空比最小能达到多少,如8位的PWM,理论的分辨率就是1255(单斜率) 16位的的PWM理论就是165535(单斜率)

频率就是这样的,如16位的PWM,它的分辨率达到了165535,要达到这个分辨率,T/C就必须从0计数到65535才能达到,如果计数从0计到80之后又从0开始计到80.......,那么它的分辨率最小就是180了,但是,它也快了,也就是说PWM的输出频率高了。

双斜率 / 单斜率

假设一个PWM0计数到80,之后又从0计数到80.......   这个就是单斜率。

假设一个PWM0计数到80,之后是从80计数到0.......   这个就是双斜率。

可见,双斜率的计数时间多了一倍,所以输出的PWM频率就慢了一半,但是分辨率却是1(80+80) 1160,就是提高了一倍。


假设PWM是单斜率,设定最高计数是80,我们再设定一个比较值是10,那么T/C0计数到10(这时计数器还是一直往上计数,直到计数到设定值80),单片机就会根据你的设定,控制某个IO口在这个时候是输出1还是输出0还是端口取反,这样,就是PWM的最基本的原理了。

 

 

 

 

 

 

   PWM脉宽调制,是靠改变脉冲宽度来控制输出电压,通过改变周期来控制其输出频率。而输出频率的变化可通过改变此脉冲的调制周期来实现。这样,使调压和调频两个作用配合一致,且于中间直流环节无关,因而加快了调节速度,改善了动态性能。由于输出等幅脉冲只需恒定直流电源供电,可用不可控整流器取代相控整流器,使电网侧的功率因数大大改善。利用PWM逆变器能够抑制或消除低次谐波。加上使用自关断器件,开关频率大幅度提高,输出波形可以非常接近正弦波。
PWM
变频电路具有以下特点:
1.    
可以得到相当接近正弦波的输出电压
2.    
整流电路采用二极管,可获得接近1的功率因数
3.    
电路结构简单
4.    
通过对输出脉冲宽度的控制可改变输出电压,加快了变频过程的动态响应
现在通用变频器基本都再用PWM控制方式,所以介绍一下PWM控制的原理
 PWM
基本原理
脉宽调制(PWM)。控制方式就是对逆变电路开关器件的通断进行控制,使输出端得到一系列幅值相等的脉冲,用这些脉冲来代替正弦波或所需要的波形。也就是在输出波形的半个周期中产生多个脉冲,使各脉冲的等值电压为正弦波形,所获得的输出平滑且低次斜波谐波少。按一定的规则对各脉冲的宽度进行调制,即可改变逆变电路输出电压的大小,也可改变输出频率。
在采样控制理论中有一个重要的结论,即冲量相等而形状不同的窄脉冲加在具有惯性的环节上,其效果基本相同。冲量既指窄脉冲的面积。这里所说的效果基本相同。是指该环节的输出响应波形基本相同。如把各输出波形用傅里叶变换分析,则它们的低频段特性非常接近,仅在高频段略有差异。
                                                                         

根据上面理论我们就可以用不同宽度的矩形波来代替正弦波,通过对矩形波的控制来模拟输出不同频率的正弦波。
例如,把正弦半波波形分成N等份,就可把正弦半波看成由N个彼此相连的脉冲所组成的波形。这些脉冲宽度相等,都等于 ∏,但幅值不等,且脉冲顶部不是水平直线,而是曲线,各脉冲的幅值按正弦规律变化。如果把上述脉冲序列用同样数量的等幅而不等宽的矩形脉冲序列代替,使矩形脉冲的中点和相应正弦等分的中点重合,且使矩形脉冲和相应正弦部分面积(即冲量)相等,就得到一组脉冲序列,这就是PWM波形。可以看出,各脉冲宽度是按正弦规律变化的。根据冲量相等效果相同的原理,PWM波形和正弦半波是等效的。对于正弦的负半周,也可以用同样的方法得到PWM波形。

PWM波形中,各脉冲的幅值是相等的,要改变等效输出正弦波的幅值时,只要按同一比例系数改变各脉冲的宽度即可,因此在交-直-交变频器中,整流电路采用不可控的二极管电路即可,PWM逆变电路输出的脉冲电压就是直流侧电压的幅值。
根据上述原理,在给出了正弦波频率,幅值和半个周期内的脉冲数后,PWM波形各脉冲的宽度和间隔就可以准确计算出来。按照计算结果控制电路中各开关器件的通断,就可以得到所需要的PWM波形.

 

 

PWM控制电路基本原理与FPGA

时间:2009-05-14 15:38:30 来源:国外电子元器件 作者:

在直流伺服控制系统中,通过专用集成芯片或中小规模的数字集成电路构成的传统PWM控制电路往往存在电路设计复杂,体积大,抗干扰能力差以及设计困难、设计周期长等缺点因此PWM控制电路的模块化、集成化已成为发展趋势.它不仅可以使系统体积减小、重量减轻且功耗降低,同时可使系统的可靠性大大提高.随着电子技术的发展,特别是专用集成电路(ASIC)设计技术的日趋完善,数字化的电子自动化设计(EDA)工具给电子设计带来了巨大变革,尤其是硬件描述语言的出现,解决了传统电路原理图设计系统工程的诸多不便.针对以上情况,本文给出一种基于复杂可编程逻辑器件(CPLD)PWM控制电路设计和它的仿真波形.
  

1 PWM
控制电路基本原理
  

为了实现直流伺服系统的H型单极模式同频PWM可逆控制,一般需要产生四路驱动信号来实现电机的正反转切换控制.PWM控制电路工作时,其中H桥一侧的两路驱动信号的占空比相同但相位相反,同时随控制信号改变并具有互锁功能;而另一侧上臂为低电平,下臂为高电平.另外,为防止桥路同侧对管的导通,还应当配有延时电路.设计的整体模块见图1所示.其中,d[7:0]矢量用于为微机提供调节占空比的控制信号,cs为微机提供控制电机正反转的控制信号,clk为本地晶振频率,qout[3:0]矢量为四路信号输出.其内部原理图如图2所示.

该设计可得到脉冲周期固定(用软件设置分频器I9可改变PWM开关频率,但一旦设置完毕,则其脉冲周期将固定)、占空比决定于控制信号、分辨力为1/256PWM信号.I8模块为脉宽锁存器,可实现对来自微机的控制信号d[7:0]的锁存,d[7:0]的向量值用于决定PWM信号的占空比.clk本地晶振在经I9分频模块分频后可为PWM控制电路中I12计数器模块和I11延时模块提供内部时钟.I12计数器在每个脉冲的上升沿到来时加1,当计数器的数值为00H或由0FFH溢出时,它将跳到00H,cao输出高电平至I7触发器模块的置位端,I7模块输出一直保持高电平.I8锁存器的值与I12计数器中的计数值相同时,信号将通过I13比较器模块比较并输出高电平至I7模块的复位端,以使I7模块输出低电平.当计数器再次溢出时,又重复上述过程.I7RS触发器,经过它可得到两路相位相反的脉宽调制波,并可实现互锁.I11为延时模块,可防止桥路同侧对管的导通,I10模块为脉冲分配电路,用于输出四路满足设计要求的信号.CSI10模块的控制信号,用于控制电机的正反转.
2
电路设计

  

本设计采用的是Lattice半导体公司推出的is-plever开发平台,该开发平台定位于复杂设计的简单工具.它采用简明的设计流程并完整地集成了Leonardo SpectrumVHDL综合工具和ispVMTM系统,因此,无须第三方设计工具便可完成整个设计流程.在原理设计方面,本设计采用自顶向下、层次化、模块化的设计思想,这种设计思想的优点是符合人们先抽象后具体,先整体后局部的思维习惯.其设计出的模块修改方便,不影响其它模块,且可重复使用,利用率高.本文仅就原理图中的I12计数器模块和I11延迟模块进行讨论.

  计数器模块的VHDL程序设计如下:

  entity counter is

  port(clk: in std logic;

  Q : out std logic vector(7 downto 0);

  cao: out std_logic);

  end counter;

  architecture a_counter of counter is

  signal Qs: std_logic_vector(7 downto 0);

  signal reset: std_logic;

  signal caolock: std_logic;

 process(clk,reset)

  begin

  if(reset=‘1)then

  Qs<=“00000000”;

  elsif clkevent and clk=‘1 then

  Qs<=Qs+‘1;

  end if;

  end process;

  reset<=‘1 when Qs=255 else

  ‘0;

  caolock<=‘1 when Qs=0 else

  ‘0;

  Q<=Qs;

  cao<=reset or caolock;

  end a_counter;

在原理图中,延迟模块必不可少,其功能是对PWM波形的上升沿进行延时,而不影响下降沿,从而确保桥路同侧不会发生短路.其模块的VHDL程序如下:

  entity delay is

  port(clk: in std_logic;

  input: in std_logic_vector(1 downto 0);

  output:out std_logic_vector(1 downto 0)

  end delay;

  architecture a_delay of delay is

  signal Q1,Q2,Q3,Q4: std_logic;

  begin

  process(clk)

  begin

  if clkevent and clk=‘1 then

  Q3<=Q2;

  Q2<=Q1;

  Q1<=input(1);

  end if;

  end process;

  Q4<=not Q3;

  output(1)<=input(1)and Q3;

  output(0)<=input(0)and Q4;

  end a_delay;

3 结束语
  

采用可编程逻辑器件和硬件描述语言,同时利用其供应商提供的开发工具可大大缩短数字系统的设计时间,节约新产品的开发成本,另外,还具有设计灵活,集成度高,可靠性好,抗干能力强等特点.本文设计的PWM控制电路用于某光测设备的传动装置时,取得了良好的效果.

 

原创粉丝点击