UltraEdit中verilog HDL语法高亮显示

来源:互联网 发布:导演构思 知乎 编辑:程序博客网 时间:2024/06/06 14:02

      UltraEdit是一款功能强大的文本编辑器,可以编辑文字、Hex、ASCII码,可以取代记事本,内建英文单字检查、C++ 及 VB
指令突显,可同时编辑多个文件,而且即使开启很大的文件速度也不会慢。是一个使用广泛的编辑器,但它并不直接支持HDL。
1. 下载Verilog HDL语法高亮文件
Verilog HDL关键字将用不同色彩标出,便于识别。verilog
HDL语法高亮文件下载地址为http://www.ultraedit.com/downloads/extras.html#wordfiles,下载verilog.UEW和verilog2001.UEW文件。当然根据需要你也可以下载其它语法高亮文件。
2. 修改文件
    2.1 修改verilog语法高亮文件
    l 把文件开头的L20改为L19   //ultraedit默认只支持20中语法高亮
    l 在文件最后加上以下代码
    /Open Fold Strings = "begin""case"
    /Close Fold Strings = "end""endcase"
    /Indent Strings = "begin""case""fork" "if" "else"
    /Unindent Strings = "end""endcase""join" "else"
    保存关闭。
 
    2.2 修改verilog2001语法高亮文件
    在文件最后加上以下代码
    /Open Fold Strings = "begin""case"
    /Close Fold Strings = "end""endcase"
    /Indent Strings = "begin""case""fork" "if" "else"
    /Unindent Strings = "end""endcase""join" "else"
    保存关闭。
3. 拷贝语法高亮文件到合适目录下
把语法高亮文件拷贝到D:/UltraEdit/wordfiles(UltraEdit 15.0以上版本)目录下。
4. 设置语法高亮文件路径
高级→配置→编辑器显示→语法高亮,定位文档语法高亮的完整目录后点确定。

好了,现在重新打开verilog文件,你会发现其关键字高亮显示。