在Ubuntu 11.04 上安装Xilinx ISE 13.1指南,附出错的改正方法

来源:互联网 发布:电话爆破软件 编辑:程序博客网 时间:2024/04/27 14:04

安装环境:Ubuntu 11.04

安装版本:Xilinx ISE 13.1


一,下载Xilinx_ISE_DS_13.1_O.40d.1.1.iso

这个是Windows/Linux双平台破解版,大概4.94G吧。

二,在ubuntu 11.04下安装并破解

sudo mount -o loop Xilinx_ISE_DS_13.1_O.40d.1.1.iso /mnt
将iso挂载到/mnt。
cd /mnt./xsetup


执行xsetup,出现图像安装界面,和windows的安装方法一样。默认安装路径 /opt/Xilinx/13.1
然后进行破解

 
cd /mnt/crackcp ise_11p1_licgen_v3.exe ~wine ~/ise_11p1_licgen_v3.exe


在个人目录下出现license.txt

三,运行ISE

source /opt/Xilinx/13.1/ISE_DS/settings32.shise&

四,出错

  • 某模块找不到

明明在windows下可以运行都工程,在linux下就出现找不到模块的问题,问题其实很简单,一般都是大小写问题。

LINUX对文件名是严格区分大小写的。还是严谨点好啊。

  • 直接implement top module,然后报错(在此,我隐藏掉了translated后都文件名,代以xxxxxxxxxxxxx.v,这个不影响表达)

Process "Translate" completed successfullyStarted : "Generate Post-Translate Simulation Model".Running netgen...Command Line: netgen -intstyle ise -insert_glbl true -w -dir netgen/translate -ofmt verilog -sim writeMBLayer.ngd xxxxxxxxxxxxxxx.vINFO:NetListWriters:633 - The generated Verilog netlist contains Xilinx SIMPRIM   simulation primitives and has to be used with SIMPRIM simulation library for   correct compilation and simulation. 

官网解释如下

This information indicates that the simulation primitives in generated netlist are from SIMPRIM library which can be used for post-translate, post-MAP and post-PAR simulation.This is just information letting you know that you need to have all your libraries mapped and compiled before simulation.

我然后就编译了一遍仿真库

  • Simulate Behavioral Model,然后出现

FATAL_ERROR:Simulator:Fuse.cpp:217:1.95 - Failed to compile one of the generated C code
我看了看官网,http://www.xilinx.com/support/answers/32357.htm
给出了9种解决方法。

首先我们看Xilinx ISE支持都Linux平台,里面是不包括Ubuntu的。


但是这个不能是报错都理由吧?

其实解决方法很简单,是gcc版本的问题。


sudo aptitude install gcc-4.4

sudo rm /usr/bin/gcc

sudo ln -fs /usr/bin/gcc-4.4 /usr/bin/gcc

重启ISE试试,一切搞定。

参考文献:

http://xlnx.lithium.com/t5/Simulation-and-Verification/ISim-under-Ubuntu-11-04/td-p/143852