自己编写的使时钟不连续的测试代码-fork---join_none用法以及停止线程
来源:互联网 发布:js让div显示隐藏 编辑:程序博客网 时间:2024/06/05 23:27
//测试平台
`timescale 1ns/1psmodule tb(); reg clk; reg clk4; reg por; reg din_100; reg din_10; reg rx_en; wire din_w; wire din; wire din_100_en; wire din_10_en; wire rst;zdvc_vcd_interface m( .clk(clk), .clk4(clk4), .por(por), .din_100(din_100), .din_10(din_10), .rx_en(rx_en), .din_w(din_w), .din(din), .din_100_en(din_100_en), .din_10_en(rst));initial begin $fsdbDumpfile("wave_test.fsdb"); $fsdbDumpvars; end//always #147.5 clk4 = ~clk4;initial begin clk = 1'b0; fork:genclk4 begin forever #147.5 clk4 = ~clk4; end join_none por = 1'b0; clk4 = 1'b0; din_100 = 1'b1; din_10 = 1'b1; rx_en = 1'b0; # 590 por = 1'b1; # 2360; din_100 = 1'b0; #47 din_100 = 1'b1; #120 din_100 = 1'b0; #100 din_100 = 1'b1; #230 din_100 = 1'b0; #200 din_100 = 1'b1; #67 din_100 = 1'b0; #120 din_100 = 1'b1; #65 din_100 = 1'b0; disable genclk4; clk4 = 1'b0; #5000 din_100 = 1'b1; fork:gen2clk4 begin forever #147.5 clk4 = ~clk4; end join_none #66 din_100 = 1'b0; #122 din_100 = 1'b1; #80 din_100 = 1'b0; #21 din_100 = 1'b1; #83 din_100 = 1'b0; #230 din_100 = 1'b1; #3300 din_100 = 1'b1; $stop;endendmodule
源代码//省略,呵呵,
波形可以看看哦,
- 自己编写的使时钟不连续的测试代码-fork---join_none用法以及停止线程
- 自己编写的C语言实时时钟代码
- 自己写的代码:时钟
- Linux的进程,线程以及调度(fork与僵尸,内存泄漏,task结构体,停止状态与作业控制)
- 不停止的认识我们自己。。。。
- STM32停止模式唤醒不恢复时钟的后果
- Github上更新自己Fork的代码
- github更新自己fork的代码
- Github上更新自己Fork的代码
- 如何更新自己Fork的代码
- github更新自己Fork的代码
- Github上更新自己Fork的代码
- 如何update自己fork别人的代码
- GitHub上更新自己Fork的代码
- github更新自己Fork的代码
- github更新自己Fork的代码
- github更新自己Fork的代码
- Github上更新自己Fork的代码
- C++入门
- LU decomposition
- getElementById怎么调用
- 网页设计问题大搜集(有些细节的问题,很值得学习)
- 一个简单的双向链表类--xixi
- 自己编写的使时钟不连续的测试代码-fork---join_none用法以及停止线程
- 在Windows Phone中进行3D开发之五平移缩放
- 如何设置PHP cookie
- CentOS下Redis 2.2.14安装配置详解
- 更改 Android SDK 中 AVD 的位置
- strust中JSP页面通过JDBC操作数据库出现乱码,页面出现乱码问题
- xmlns
- 我们是政治侏儒
- 片上总线Wishbone 学习(零)前言