S3C2410驱动分析之ADC通用驱动

来源:互联网 发布:2016免费顶级域名 编辑:程序博客网 时间:2024/06/05 16:41

内核版本:2.6.36

源码路径:arch/arm/plat-samsung/adc.c

 
在Linux-2.6.36中,提供了一个S3C2410的ADC通用驱动模块,定义在arch/arm/plat-samsung/adc.c文件中。用户要使用ADC,可以使用该通用驱动模块提供的接口进行注册和读取。
首先我们来看初始化函数adc_init:
[cpp] view plaincopyprint?
  1. 477static int __init adc_init(void)  
  2. 478{  
  3. 479    int ret;  
  4. 480  
  5. 481    ret = platform_driver_register(&s3c_adc_driver);  
  6. 482    if (ret)  
  7. 483        printk(KERN_ERR "%s: failed to add adc driver\n", __func__);  
  8. 484  
  9. 485    return ret;  
  10. 486}  
  11. 481行,注册了platform_driver s3c_adc_driver,其定义如下:  
  12. 465static struct platform_driver s3c_adc_driver = {  
  13. 466    .id_table   = s3c_adc_driver_ids,  
  14. 467    .driver     = {  
  15. 468        .name   = "s3c-adc",  
  16. 469        .owner  = THIS_MODULE,  
  17. 470    },  
  18. 471    .probe      = s3c_adc_probe,  
  19. 472    .remove     = __devexit_p(s3c_adc_remove),  
  20. 473    .suspend    = s3c_adc_suspend,  
  21. 474    .resume     = s3c_adc_resume,  
  22. 475};  

注册驱动程序时,probe函数s3c_adc_probe就会执行,其代码如下:
[cpp] view plaincopyprint?
  1. 322static int s3c_adc_probe(struct platform_device *pdev)  
  2. 323{  
  3. 324    struct device *dev = &pdev->dev;  
  4. 325    struct adc_device *adc;  
  5. 326    struct resource *regs;  
  6. 327    int ret;  
  7. 328    unsigned tmp;  
  8. 329  
  9. 330    adc = kzalloc(sizeof(struct adc_device), GFP_KERNEL);  
  10. 331    if (adc == NULL) {  
  11. 332        dev_err(dev, "failed to allocate adc_device\n");  
  12. 333        return -ENOMEM;  
  13. 334    }  
  14. 335  
  15. 336    spin_lock_init(&adc->lock);  
  16. 337  
  17. 338    adc->pdev = pdev;  
  18. 339    adc->prescale = S3C2410_ADCCON_PRSCVL(49);  
  19. 340  
  20. 341    adc->irq = platform_get_irq(pdev, 1);  
  21. 342    if (adc->irq <= 0) {  
  22. 343        dev_err(dev, "failed to get adc irq\n");  
  23. 344        ret = -ENOENT;  
  24. 345        goto err_alloc;  
  25. 346    }  
  26. 347  
  27. 348    ret = request_irq(adc->irq, s3c_adc_irq, 0, dev_name(dev), adc);  
  28. 349    if (ret < 0) {  
  29. 350        dev_err(dev, "failed to attach adc irq\n");  
  30. 351        goto err_alloc;  
  31. 352    }  
  32. 353  
  33. 354    adc->clk = clk_get(dev, "adc");  
  34. 355    if (IS_ERR(adc->clk)) {  
  35. 356        dev_err(dev, "failed to get adc clock\n");  
  36. 357        ret = PTR_ERR(adc->clk);  
  37. 358        goto err_irq;  
  38. 359    }  
  39. 360  
  40. 361    regs = platform_get_resource(pdev, IORESOURCE_MEM, 0);  
  41. 362    if (!regs) {  
  42. 363        dev_err(dev, "failed to find registers\n");  
  43. 364        ret = -ENXIO;  
  44. 365        goto err_clk;  
  45. 366    }  
  46. 367  
  47. 368    adc->regs = ioremap(regs->start, resource_size(regs));  
  48. 369    if (!adc->regs) {  
  49. 370        dev_err(dev, "failed to map registers\n");  
  50. 371        ret = -ENXIO;  
  51. 372        goto err_clk;  
  52. 373    }  
  53. 374  
  54. 375    clk_enable(adc->clk);  
  55. 376  
  56. 377    tmp = adc->prescale | S3C2410_ADCCON_PRSCEN;  
  57. 378    if (platform_get_device_id(pdev)->driver_data == TYPE_S3C64XX) {  
  58. 379        /* Enable 12-bit ADC resolution */  
  59. 380        tmp |= S3C64XX_ADCCON_RESSEL;  
  60. 381    }  
  61. 382    writel(tmp, adc->regs + S3C2410_ADCCON);  
  62. 383  
  63. 384    dev_info(dev, "attached adc driver\n");  
  64. 385  
  65. 386    platform_set_drvdata(pdev, adc);  
  66. 387    adc_dev = adc;  
  67. 388  
  68. 389    return 0;  
  69. 390  
  70. 391 err_clk:  
  71. 392    clk_put(adc->clk);  
  72. 393  
  73. 394 err_irq:  
  74. 395    free_irq(adc->irq, adc);  
  75. 396  
  76. 397 err_alloc:  
  77. 398    kfree(adc);  
  78. 399    return ret;  
  79. 400}  

330行,创建了adc_device结构体变量adc,adc_device结构体代表一个ADC设备,其定义如下:
[cpp] view plaincopyprint?
  1. 62struct adc_device {  
  2. 63    struct platform_device  *pdev;  
  3. 64    struct platform_device  *owner;  
  4. 65    struct clk      *clk;  
  5. 66    struct s3c_adc_client   *cur;  
  6. 67    struct s3c_adc_client   *ts_pend;  
  7. 68    void __iomem        *regs;  
  8. 69    spinlock_t       lock;  
  9. 70  
  10. 71    unsigned int         prescale;  
  11. 72  
  12. 73    int          irq;  
  13. 74};  

65行,clk代表ADC时钟。
66行,cur代表当前正在处理的客户。
67行,ts_pend代表触摸屏,这里ADC把客户分为触摸屏和非触摸屏两大类,专门用ts_pend代表触摸屏。
68行,regs是ADC的I/O内存。
71行,prescale是ADC的预分频系数。
73行,irq是触摸屏中断号。
adc_device中用到了s3c_adc_client结构,其定义如下:
[cpp] view plaincopyprint?
  1. 46struct s3c_adc_client {  
  2. 47    struct platform_device  *pdev;  
  3. 48    struct list_head     pend;  
  4. 49    wait_queue_head_t   *wait;  
  5. 50  
  6. 51    unsigned int         nr_samples;  
  7. 52    int          result;  
  8. 53    unsigned char        is_ts;  
  9. 54    unsigned char        channel;  
  10. 55  
  11. 56    void    (*select_cb)(struct s3c_adc_client *c, unsigned selected);  
  12. 57    void    (*convert_cb)(struct s3c_adc_client *c,  
  13. 58                  unsigned val1, unsigned val2,  
  14. 59                  unsigned *samples_left);  
  15. 60};  

s3c_adc_client代表了一个请求ADC服务的客户(client)。
48行,是一个链表项,用来将client插入等待链表adc_pending。
49行,wait是client的等待队列头,如果必须等待,client进程会在wait上休眠。
51行,nr_samples记录客户指定的采样次数。
52行,result记录采样结果。
53行,is_ts表明是不是触摸屏。
54行,channel表明客户要使用的ADC通道。
56行,select回调函数,用于选择客户(初始化客户)和取消选择客户。
57行,convert回调函数,用于对AD转换结果进行相应处理。
回到s3c_adc_probe函数:
339行,设置预分频系统为49。
341行,取得ADC中断号。
348行,申请中断,注册ADC中断处理函数为s3c_adc_irq。
354行,取得ADC时钟。
361行,取得ADC I/O内存。
368行,使用ioremap得到I/O内存对应的虚拟地址。
375行,使能ADC时钟。
377 - 382行,设置使用预分频及预分频系统。
至此,ADC模块的初始化就完成了。
下面我们看客户注册ADC服务的接口函数s3c_adc_register,代码如下:
[cpp] view plaincopyprint?
  1. 207struct s3c_adc_client *s3c_adc_register(struct platform_device *pdev,  
  2. 208                    void (*select)(struct s3c_adc_client *client,  
  3. 209                               unsigned int selected),  
  4. 210                    void (*conv)(struct s3c_adc_client *client,  
  5. 211                             unsigned d0, unsigned d1,  
  6. 212                             unsigned *samples_left),  
  7. 213                    unsigned int is_ts)  
  8. 214{  
  9. 215    struct s3c_adc_client *client;  
  10. 216  
  11. 217    WARN_ON(!pdev);  
  12. 218  
  13. 219    if (!select)  
  14. 220        select = s3c_adc_default_select;  
  15. 221  
  16. 222    if (!pdev)  
  17. 223        return ERR_PTR(-EINVAL);  
  18. 224  
  19. 225    client = kzalloc(sizeof(struct s3c_adc_client), GFP_KERNEL);  
  20. 226    if (!client) {  
  21. 227        dev_err(&pdev->dev, "no memory for adc client\n");  
  22. 228        return ERR_PTR(-ENOMEM);  
  23. 229    }  
  24. 230  
  25. 231    client->pdev = pdev;  
  26. 232    client->is_ts = is_ts;  
  27. 233    client->select_cb = select;  
  28. 234    client->convert_cb = conv;  
  29. 235  
  30. 236    return client;  
  31. 237}  

219 - 220行,如果没有指定select回调函数,则使用默认的回调函数s3c_adc_default_select,这个函数的实现没有做任何事情,是个空函数。由这句话可以看出,select回调函数是必须定义的,自己不定义也要使用默认的。而convert回调函数则不是必须定义的。
225 - 234行,为client分配空间,并初始化相关成员。
236行,返回client。
当客户要读取AD转换结果时,可调用s3c_adc_read函数,其定义如下:
[cpp] view plaincopyprint?
  1. 175int s3c_adc_read(struct s3c_adc_client *client, unsigned int ch)  
  2. 176{  
  3. 177    DECLARE_WAIT_QUEUE_HEAD_ONSTACK(wake);  
  4. 178    int ret;  
  5. 179  
  6. 180    client->convert_cb = s3c_convert_done;  
  7. 181    client->wait = &wake;  
  8. 182    client->result = -1;  
  9. 183  
  10. 184    ret = s3c_adc_start(client, ch, 1);  
  11. 185    if (ret < 0)  
  12. 186        goto err;  
  13. 187  
  14. 188    ret = wait_event_timeout(wake, client->result >= 0, HZ / 2);  
  15. 189    if (client->result < 0) {  
  16. 190        ret = -ETIMEDOUT;  
  17. 191        goto err;  
  18. 192    }  
  19. 193  
  20. 194    client->convert_cb = NULL;  
  21. 195    return client->result;  
  22. 196  
  23. 197err:  
  24. 198    return ret;  
  25. 199}  

175行,第二个参数ch代表要读ADC控制器的哪个通道。
177行,定义等待队列头。
180行,指定convert回调函数是s3c_convert_done,该函数我们在后面分析。
184行,调用s3c_adc_start函数,该函数我们在后面分析,第二个参数代表使用的ADC通道,第三个参数指定采样次数,这里可以看出,使用s3c_adc_read,采样次数均为1。
188行,调用wait_event_timeout在等待队列wake上休眠,休眠条件是 client->result >= 0,最长休眠时间为HZ/2。
client的convert回调函数s3c_convert_done定义如下:
[cpp] view plaincopyprint?
  1. 168static void s3c_convert_done(struct s3c_adc_client *client,  
  2. 169                 unsigned v, unsigned u, unsigned *left)  
  3. 170{  
  4. 171    client->result = v;  
  5. 172    wake_up(client->wait);  
  6. 173}  

171行,将第二个参数(data0)赋值给client->result。
172行,唤醒等待队列中的休眠进程。
s3c_adc_start函数定义如下:
[cpp] view plaincopyprint?
  1. 135int s3c_adc_start(struct s3c_adc_client *client,  
  2. 136          unsigned int channel, unsigned int nr_samples)  
  3. 137{  
  4. 138    struct adc_device *adc = adc_dev;  
  5. 139    unsigned long flags;  
  6. 140  
  7. 141    if (!adc) {  
  8. 142        printk(KERN_ERR "%s: failed to find adc\n", __func__);  
  9. 143        return -EINVAL;  
  10. 144    }  
  11. 145  
  12. 146    if (client->is_ts && adc->ts_pend)  
  13. 147        return -EAGAIN;  
  14. 148  
  15. 149    spin_lock_irqsave(&adc->lock, flags);  
  16. 150  
  17. 151    client->channel = channel;  
  18. 152    client->nr_samples = nr_samples;  
  19. 153  
  20. 154    if (client->is_ts)  
  21. 155        adc->ts_pend = client;  
  22. 156    else  
  23. 157        list_add_tail(&client->pend, &adc_pending);  
  24. 158  
  25. 159    if (!adc->cur)  
  26. 160        s3c_adc_try(adc);  
  27. 161  
  28. 162    spin_unlock_irqrestore(&adc->lock, flags);  
  29. 163  
  30. 164    return 0;  
  31. 165}  

151行,设置ADC通道。
152行,设置采样次数。
155行,如果是触摸屏,则将client保存在adc->ts_pend中。
157行,如果不是触摸屏,则将client插入adc_pending链表中。
159 - 160行,如果没有正在处理其他客户请求,则调用s3c_adc_try函数处理当前客户请求。
下面看s3c_adc_try函数的定义:
[cpp] view plaincopyprint?
  1. 115static void s3c_adc_try(struct adc_device *adc)  
  2. 116{  
  3. 117    struct s3c_adc_client *next = adc->ts_pend;  
  4. 118  
  5. 119    if (!next && !list_empty(&adc_pending)) {  
  6. 120        next = list_first_entry(&adc_pending,  
  7. 121                    struct s3c_adc_client, pend);  
  8. 122        list_del(&next->pend);  
  9. 123    } else  
  10. 124        adc->ts_pend = NULL;  
  11. 125  
  12. 126    if (next) {  
  13. 127        adc_dbg(adc, "new client is %p\n", next);  
  14. 128        adc->cur = next;  
  15. 129        s3c_adc_select(adc, next);  
  16. 130        s3c_adc_convert(adc);  
  17. 131        s3c_adc_dbgshow(adc);  
  18. 132    }  
  19. 133}  

117 - 124行,看是否有客户(client)在等待AD转换,首先检查adc->ts_pend,即有没有触摸屏客户在等待,然后再检查adc_pending链表,即检查有没有非触摸屏客户在等待。
126 - 132行,如果没有等待的客户,则ADC处理结束。如果有等待的客户(client),则对客户AD转换请求进行处理。其中用到了s3c_adc_select,s3c_adc_convert ,s3c_adc_dbgshow函数。下面依次进行分析。
首先看s3c_adc_select函数:
[cpp] view plaincopyprint?
  1.  90static inline void s3c_adc_select(struct adc_device *adc,  
  2.  91                  struct s3c_adc_client *client)  
  3.  92{  
  4.  93    unsigned con = readl(adc->regs + S3C2410_ADCCON);  
  5.  94  
  6.  95    client->select_cb(client, 1);  
  7.  96  
  8.  97    con &= ~S3C2410_ADCCON_MUXMASK;  
  9.  98    con &= ~S3C2410_ADCCON_STDBM;  
  10.  99    con &= ~S3C2410_ADCCON_STARTMASK;  
  11. 100  
  12. 101    if (!client->is_ts)  
  13. 102        con |= S3C2410_ADCCON_SELMUX(client->channel);  
  14. 103  
  15. 104    writel(con, adc->regs + S3C2410_ADCCON);  
  16. 105}  

95行,执行客户定义的select回调函数。
97 - 104行,初始化ADC控制器。
下面我们看s3c_adc_convert函数的定义:
[cpp] view plaincopyprint?
  1. 82static inline void s3c_adc_convert(struct adc_device *adc)  
  2. 83{  
  3. 84    unsigned con = readl(adc->regs + S3C2410_ADCCON);  
  4. 85  
  5. 86    con |= S3C2410_ADCCON_ENABLE_START;  
  6. 87    writel(con, adc->regs + S3C2410_ADCCON);  
  7. 88}  

在arch/arm/plat-samsung/include/plat/regs-adc.h文件中有如下定义:
#define S3C2410_ADCCON_ENABLE_START (1<<0)
所以s3c_adc_convert的作用是启动AD转换。
下面是s3c_adc_dbgshow函数的定义:
[cpp] view plaincopyprint?
  1. 107static void s3c_adc_dbgshow(struct adc_device *adc)  
  2. 108{  
  3. 109    adc_dbg(adc, "CON=%08x, TSC=%08x, DLY=%08x\n",  
  4. 110        readl(adc->regs + S3C2410_ADCCON),  
  5. 111        readl(adc->regs + S3C2410_ADCTSC),  
  6. 112        readl(adc->regs + S3C2410_ADCDLY));  
  7. 113}  

打印ADCCON,ADCTSC,ADCDLY三个寄存器的值。
s3c_adc_convert函数启动AD转换,转换结束后,会触发ADC中断,下面看ADC中断处理函数s3c_adc_irq,其代码如下:
[cpp] view plaincopyprint?
  1. 270static irqreturn_t s3c_adc_irq(int irq, void *pw)  
  2. 271{  
  3. 272    struct adc_device *adc = pw;  
  4. 273    struct s3c_adc_client *client = adc->cur;  
  5. 274    enum s3c_cpu_type cpu = platform_get_device_id(adc->pdev)->driver_data;  
  6. 275    unsigned data0, data1;  
  7. 276  
  8. 277    if (!client) {  
  9. 278        dev_warn(&adc->pdev->dev, "%s: no adc pending\n", __func__);  
  10. 279        goto exit;  
  11. 280    }  
  12. 281  
  13. 282    data0 = readl(adc->regs + S3C2410_ADCDAT0);  
  14. 283    data1 = readl(adc->regs + S3C2410_ADCDAT1);  
  15. 284    adc_dbg(adc, "read %d: 0x%04x, 0x%04x\n", client->nr_samples, data0, data1);  
  16. 285  
  17. 286    client->nr_samples--;  
  18. 287  
  19. 288    if (cpu == TYPE_S3C64XX) {  
  20. 289        /* S3C64XX ADC resolution is 12-bit */  
  21. 290        data0 &= 0xfff;  
  22. 291        data1 &= 0xfff;  
  23. 292    } else {  
  24. 293        data0 &= 0x3ff;  
  25. 294        data1 &= 0x3ff;  
  26. 295    }  
  27. 296  
  28. 297    if (client->convert_cb)  
  29. 298        (client->convert_cb)(client, data0, data1, &client->nr_samples);  
  30. 299  
  31. 300    if (client->nr_samples > 0) {  
  32. 301        /* fire another conversion for this */  
  33. 302  
  34. 303        client->select_cb(client, 1);  
  35. 304        s3c_adc_convert(adc);  
  36. 305    } else {  
  37. 306        spin_lock(&adc->lock);  
  38. 307        (client->select_cb)(client, 0);  
  39. 308        adc->cur = NULL;  
  40. 309  
  41. 310        s3c_adc_try(adc);  
  42. 311        spin_unlock(&adc->lock);  
  43. 312    }  
  44. 313  
  45. 314exit:  
  46. 315    if (cpu == TYPE_S3C64XX) {  
  47. 316        /* Clear ADC interrupt */  
  48. 317        writel(0, adc->regs + S3C64XX_ADCCLRINT);  
  49. 318    }  
  50. 319    return IRQ_HANDLED;  
  51. 320}  

273行,获得当前正在请求ADC服务的客户(client),即adc->cur。
282行,读ADCDAT0,保存在data0中。
283行,读ADCDAT1,保存在data1中。
293 - 294行,取data0和data1的低10位,分别对应x坐标值和y坐标值。
297 - 298行,如果客户(client)定义了convert_cb,则调用之。这个回调函数的例子,可以看S3C2410触摸屏驱动的相应回调函数s3c24xx_ts_conversion ,定义在drivers/input/touchscreen/s3c2410_ts.c文件中。这个回调函数的作用是根据驱动程序的具体要求,对转换结果进行相应处理。对于S3C2410触摸屏驱动的相应回调函数,就是把每次转换后的X坐标值求和,Y坐标值求和,同时记录转换次数,以便在以后取多次转换结果的平均值。
300行,如果client->nr_samples > 0,说明还要进行一次采样。
303行,client->select_cb(client, 1),这个回调函数的例子,可以看S3C2410触摸屏驱动的相应回调函数s3c24xx_ts_select。第二个参数为1,表示选择客户client,让客户初始化好,准备处理AD转换结果;第二个参数为0,表示取消对客户client的选择。
304行,调用s3c_adc_convert函数,因为300判断了还要再进行采样,所以这里调用s3c_adc_convert再次进行AD转换。
307行,如果不需要再进行一次采样,调用(client->select_cb)(client, 0),取消对客户client的选择,同时客户会对AD转换结果进行处理。
308行,将adc->cur设置为NULL。
310行,调用s3c_adc_try(adc)函数,其作用是检查是否还有客户在等待ADC服务,如果有,则将其设置为当前客户,并启动AD转换。
至此,整个ADC通用驱动我们就分析完了。
下面整理一下用户要执行ADC操作时函数调用流程:
1. 用户调用s3c_adc_register注册client。
2. 用户调用s3c_adc_read读取ADC控制器 -> s3c_adc_start -> s3c_adc_try -> s3c_adc_select(选择客户)、s3c_adc_convert(启动AD转换) -> 转换结束后发出中断,执行中断处理函数s3c_adc_irq。
3.用户也可以不通过调用s3c_adc_read函数,直接调用s3c_adc_start函数执行ADC操作。S3C2410触摸屏驱动就是这样做的。
 
原创粉丝点击