硬件描述语言实验二:一位全加器实验
来源:互联网 发布:王力宏 爱一点 知乎 编辑:程序博客网 时间:2024/04/28 22:00
硬件描述语言实验二:一位全加器实验
1、实验目的:进一步练习VHDL语言设计工程的建立与仿真的步骤和方法、熟悉VHDL语言基本设计实体的编写方法。
2、实验环境:PC个人计算机、Windows XP操作系统、Quartus II集成开发环境软件。
3、实验要求:设计一个一位全加器,实体名称为“full_adder”,其引脚与功能如下表。
端口模式
端口名
数据类型
功能逻辑表达式
说明
in(输入)
a
std_logic
加数
b
加数
ci
低位进位
out(输出)
s
s <= a xor b xor ci
和
co
co <= (a and b) or (a and ci) or (b and ci)
高位进位
4、实验步骤:①建立工程、②编辑代码、③编译及修改错误、④建立仿真波形并仿真、⑤根据仿真结果分析设计是否正确。
实验报告要求:实验报告头部写明实验题目、实验人姓名、实验人学号,实验地点、实验时间等。实验报告正文写明实验名称、实验目的、实验环境、设计要求、实验步骤、实验结果及分析
library ieee; use ieee.std_logic_1164.all; entity a is port ( a,b,ci: in std_logic; y,co: out std_logic ); end entity; architecture rtl of a is begin y <= a xor b xor ci; co <= (a and b) or (a and ci) or (b and ci); end rtl;
- 硬件描述语言实验二:一位全加器实验
- 综合性实验五、有限状态机的硬件描述语言设计方法
- 实验二 数据描述、定义实验
- VHDL一位全加器
- 实验二 单链表实验
- 【实验二】串口实验
- 实验一&实验二
- 一元多项式的加减乘除运算,C++语言描述,数据结构实验
- 操作系统 模拟可变分区内存管理实验 C语言描述
- 实验二
- 实验二
- 实验二
- 实验二
- 实验二
- 实验二
- 实验二
- 实验二
- 实验二
- android 使用反射机制获取工程中的图片
- 关于json数据跨域传输的问题
- C语言的指针例题
- 第二次 C程序设计上机报告
- 读取oracle数据表,导出到DBF文件。
- 硬件描述语言实验二:一位全加器实验
- 关于在EASBos 工作流审批界面添加自己的KDTworkbutton的方法
- FileInputStream/FileOutputStream的应用
- 图论の最短路径算法
- 对大数据量进行排序--位图法
- unity3d破解路径
- ie7 z-index 失效问题
- 1008. Elevator
- flex3 :自定义组件使用