[verilog]用文件初始化ROM
来源:互联网 发布:html5仿淘宝手机商城 编辑:程序博客网 时间:2024/05/29 03:13
`timescale 1ps/1ps/*从文件中读入ROM的值2013-4-14*/module FILEROM(iCLK,iRST_N,iREAD,iADDR,oDATA);parameter DATA_WIDTH=8;//数据位宽parameter ADDR_WIDTH=8;//地址位宽parameter ADDR_START=0;//地址起始parameter ADDR_END =255;//地址尾parameter FILE_NAME="file.txt";//文件名input iCLK;input iRST_N;input iREAD;input [ADDR_WIDTH-1:0] iADDR;output [DATA_WIDTH-1:0] oDATA;reg [DATA_WIDTH-1:0] oDATA;reg [DATA_WIDTH-1:0] rMem[ADDR_END:ADDR_START];integer fp;integer i;integer n;initial beginfp=$fopen(FILE_NAME,"r");i=ADDR_START;while(!($feof(fp)) && i<=ADDR_END) beginn=$fscanf(fp,"%x",rMem[i]);i=i+1;end$fclose(fp);end//oDATAalways@(posedge iCLK or negedge iRST_N)beginif(!iRST_N) oDATA<=0;else if(iREAD) oDATA<=rMem[iADDR];endendmodule
- [verilog]用文件初始化ROM
- Verilog中初始化ram/rom中的数据
- 得到QuartusII rom初始化文件
- 使用matlab生成rom初始化文件.coe
- 用MATLAB生成Quartus II下ROM初始化文件(MIF,HEX)
- Quartus rom 初始化文件hex modelsim仿真问题
- Matlab 生成 图片的coe文件初始化xilinx rom
- Altera RAM/ROM 初始化文件MIF生成详解
- ISE中ROM初始化文件(.coe)的建立
- 利用matlab进行ROM初始化mif文件方法(转)
- Quartus rom 初始化文件hex modelsim仿真问题
- Verilog数组初始化赋值
- 用Nero Burning Rom 刻录ISO文件
- Verilog数组表示及初始化
- Verilog数组表示及初始化
- Verilog数组表示及初始化
- 生成和导入Xilinx ROM/RAM的初始化文件.COE(ZT)
- Matlab生成Xilinx Rom IP CORE的初始化内容coe文件
- Caused by: java.lang.ClassNotFoundException: Didn't find class "android.support.v4.view.ViewPager"
- c# BackGroundWorker 多线程操作的小例子
- valueOf()
- OAF实现客户化查询 .
- 安装eclipse插件
- [verilog]用文件初始化ROM
- HDU1283:最简单的计算机
- linux网络协议栈分析笔记12-路由2-FIB1
- 搜索题目推荐及解题报告
- Linux Mint Debain 2013.3.4版 硬盘安装笔记
- SAP BADI的实现3-使用BADI过滤器
- 取每个分类N条数据 sql
- 陷阱,中断和异常
- JSP_SERVLET_PAGE