quartus错误整理

来源:互联网 发布:淘宝买家退款率怎么查 编辑:程序博客网 时间:2024/05/17 22:45

Error: Specified license is not valid forthis machine

 

Quartus II 软件没有破解好,重新破解试试.

 

 

can't find design entity""

有时候是因为破解文件破解的不全面,虽然能够使用,但是很多功能受到限制,你可以试下重新生成破解码,多试几次。看看能不能成功。如果还是不能的话,那我就不知道了,如果是win7系统的话,一定要使用管理员权限获得破解码,安装也使用管理员的,

 

 

no device insatall

没有安装便携设备

 

 

 

Error: Top-level design entity"Verilog1" is undefined

 

菜单Assignments-> Settings...
打开后点击第一个General选项里,在Top-level entity标签指示下的编辑框里输入你的VHDL文本里的实体名字就OK了。例如:
entity mux2 is

port
(
  a, b, en : in bit;
  c : out bit
);
end mux2;

那么实体名字就是 mux2,你填这个进去就可以了。

 

 

 

quartus II 10 怎么打开波形编辑器,为什么FILE>NEW>OTHERFILES里面没有vector waveform file这个文件?

Quartus II 10.0里取消了vector waveform file的波形仿真功能,若想用该功能请安装9.x以前的版本。altera公司取消这个功能的目的是,鉴于ModelSim功能比之功能更强大,推荐用ModelSim-altera版(其中starter版是免费的)!

 

 

 

Error: Can't launch the ModelSim-Alterasoftware

 

  解决的办法:在QuartusII中正确设置ModelSim的路径 Tools -> Options -> General -> EDA Tool Options:

在出现的对话框中设置安装ModelSim的路径一定要指向找到能有ModelSim.exe文件的文件夹

 

 

Quartus II 破解时遇到了多个网卡号。。

如果电脑有无线网卡,你在License Setup里查看到的NIC ID会有两个,类似于这个样子:“e11111111111 ,001111111111”,中间有个逗号,一个是有线网卡的网卡号,一个是无线网卡的。不用疑惑担心,直接贴进去替换就好了。

 

原创粉丝点击