verilog语言设计有限状态机习题
来源:互联网 发布:mac position invalid 编辑:程序博客网 时间:2024/05/16 02:37
module seqdet(x,z,clk,rst,state);
input x,clk,rst;
output z;
output[2:0] state;
reg[2:0] state;
wire z;
parameter IDLE='d0, A='d1, B='d2,
C='d3, D='d4,
E='d5;
assign z = ( state==D)? 1 : 0; //?x=0???????E?
//???D??x??1???
//???1????? state==E && x==0 ??
always @(posedge clk)
if(!rst)
begin
state <= IDLE;
end
else
casex(state)
IDLE : if(x==1)
begin
state <= A;
end
A:if(x==1)
begin
state <= B;
end
else
begin
state <= E;
end
B: if(x==1)
begin
state <= C;
end
else
begin
state <= E;
end
C: if(x==1)
begin
state <= D;
end
else
begin
state <= E;
end
D: if(x==0)
begin
state <= E;
end
E: if(x==1)
begin
state <= A;
end
if(x==0)
begin
state <=E;
end
default:state=IDLE; //??????????
endcase
endmodule
测试程序
`timescale 1ns/1ns
`include "ztj.v"
module seqdet_Top;
reg clk,rst;
reg[23:0] data;
wire[2:0] state;
wire z,x;
assign x=data[23];
always #10 clk = ~clk;
always @(posedge clk)
data={data[22:0],data[23]};
initial
begin
clk=0;
rst=1;
#2 rst=0;
#30 rst=1;
data ='b1111_0111_1110_1111;
//#500 $stop;
end
seqdet m(x,z,clk,rst,state);
endmodule
- verilog语言设计有限状态机习题
- Verilog HDL 有限状态机的设计
- verilog语言设计分频器
- Verilog FSM 有限状态机
- 有限状态机设计
- 有限状态机设计
- 有限状态机设计
- 基于Verilog HDL的有限状态机
- 基于Verilog HDL的有限状态机
- 基于Verilog HDL的有限状态机
- Verilog语法_3(同步有限状态机)
- 硬件描述语言Verilog设计经验总结
- verilog语言的ps2键盘驱动设计
- 综合性实验五、有限状态机的硬件描述语言设计方法
- Verilog有限状态机的三种描述
- 基于Verilog的有限状态机的编写
- C语言实现有限状态机
- C语言实现有限状态机
- 在Hive中创建索引
- verilog语言设计分频器
- Linux入门
- 基于Verilog HDL的有限状态机
- verilog求阶乘
- verilog语言设计有限状态机习题
- 西电 ubuntu 更新软件源
- 搜索引擎–Python下的分词插件 jieba 结巴分词
- ffmpeg 播放流程及线程管理
- Struts资料收集
- 爱上朴实的CSS细节
- supermap object 中打开工作区间代码
- Best Time to Buy and Sell Stock III
- Linux下查看网卡流量的工具