第七周项目2—求两个数得正差值

来源:互联网 发布:matlab 用0初始化矩阵 编辑:程序博客网 时间:2024/04/28 21:42
/*
  * Copyright (c) 2013, 烟台大学计算机学院
* All rights reserved.
* 作    者: 申玉迪
* 完成日期:2013 年 10 月 08 日
* 版 本 号:v1.0
*
* 问题描述:给定两个正数,求两数的正差值。
* 样例输入:12  15
* 样例输出:Area = 3
* 问题分析:先输入a和b,输出结果即可(以后复杂点的问题,就得多写些了。有时没思路,为了写而想,思路常就有了。)
*/ 
#include <iostream>
using namespace std;
int main()
{
 int a,b,c;
 cout<<"输入a,b";
 cin>>a>>b;
 if(a>b)
  c=a-b;
    else
  c=b-a;
 cout<<c<<endl;
    return 0;
}
原创粉丝点击