Centos6 下使用qperf测量网络带宽和延迟

来源:互联网 发布:ftp默认端口号是多少 编辑:程序博客网 时间:2024/05/20 05:25

      以往经常使用的iperf主要用来测试网络带宽,这里的qperf既可以测试网络带宽也可测试延迟,当然也包括一些其他功能。

安装

#yum install qperf

使用

在被测试端启动qperf

#qperf


在测试主机上启动qperf用来测试带宽以及延迟

[root@eucahgc ~]# qperf 10.10.200.241 tcp_bw tcp_lat conftcp_bw:    bw  =  117 MB/sectcp_lat:    latency  =  109 usconf:    loc_node   =  eucahgc.hgctech.com    loc_cpu    =  8 Cores: Intel Xeon  E5620 @ 2.40GHz    loc_os     =  Linux 2.6.32-358.23.2.el6.x86_64    loc_qperf  =  0.4.6    rem_node   =  euca-10-10-200-241.eucalyptus.internal    rem_cpu    =  QEMU Virtual version (cpu64-rhel6) 2.4GHz    rem_os     =  Linux 2.6.32-71.el6.x86_64    rem_qperf  =  0.4.6

被测主机为ecualyptus+KVM创建的VM,测试带宽为117MB/S,延迟为109us。

 

也改变消息的大小(msg_size),从1个字节到64K,每次倍增的方式,通过测试来查看带宽和延迟的变化情况

[root@eucahgc ~]# qperf -oo msg_size:1:64K:*2 10.10.200.241 tcp_bw tcp_lattcp_bw:    bw  =  2.13 MB/sectcp_bw:    bw  =  3.69 MB/sectcp_bw:    bw  =  6.11 MB/sectcp_bw:    bw  =  12.5 MB/sectcp_bw:    bw  =  29 MB/sectcp_bw:    bw  =  64.4 MB/sectcp_bw:    bw  =  100 MB/sectcp_bw:    bw  =  117 MB/sectcp_bw:    bw  =  118 MB/sectcp_bw:    bw  =  117 MB/sectcp_bw:    bw  =  117 MB/sectcp_bw:    bw  =  117 MB/sectcp_bw:    bw  =  117 MB/sectcp_bw:    bw  =  117 MB/sectcp_bw:    bw  =  117 MB/sectcp_bw:    bw  =  117 MB/sectcp_bw:    bw  =  117 MB/sectcp_lat:    latency  =  78.2 ustcp_lat:    latency  =  167 ustcp_lat:    latency  =  162 ustcp_lat:    latency  =  153 ustcp_lat:    latency  =  137 ustcp_lat:    latency  =  73.9 ustcp_lat:    latency  =  76.1 ustcp_lat:    latency  =  126 ustcp_lat:    latency  =  160 ustcp_lat:    latency  =  174 ustcp_lat:    latency  =  183 ustcp_lat:    latency  =  163 ustcp_lat:    latency  =  184 ustcp_lat:    latency  =  205 ustcp_lat:    latency  =  330 ustcp_lat:    latency  =  454 ustcp_lat:    latency  =  793 us