Verilog的块语句

来源:互联网 发布:什么网络硬盘最好用 编辑:程序博客网 时间:2024/06/08 14:54

块语句的类型

一.顺序块

1)定义:关键字begin——end用于将多条语句组成顺序块。

2)特点:

a.顺序块中的语句是一条一条按顺序执行的,只有在前面的语句执行完,才会执行后面的语句。(除了带有嵌入延迟语句控制和非阻塞赋值语句)

注意:非阻塞语句是在整个块结束之后才会赋值的。

b.如果语句包括延时或者事件控制,那么延时总是相对于前面那条语句执行完成的仿真的时间。

二并行块

1)定义:关键字fork--end组成并行块

2)特点:

a.并行块的语句是并发的。

b.并行块的语句执行的顺序是由语句内延时或者事件控制决定的。

c.语句中的延时或者事件的控制都是相对于语句开始执行的时刻而言。

0 0
原创粉丝点击