Verilog中的function

来源:互联网 发布:江苏省普通发票软件 编辑:程序博客网 时间:2024/05/16 18:44

省去函数的格式,主要来看看函数的调用。

先举个例子:

  function[7:0]  getbyte;

  input[15:0]   address;

  begin

  <说明语句>

  getbyte = result_expression; //把结果赋给函数的返回字节

  end

  endmodule 

 函数的返回值:函数的定义蕴含了与函数同名的、函数内部的寄存器。如果在函数的定义中默认返回值的类型或者返回,则这个寄存器是一位的,否则这个寄存器与函数的返回值的类型或范围一致的寄存器。函数的定义把函数的返回值所赋予寄存器的名称初始化与函数同名的寄存器。

函数的调用是把函数作为表达式中的操作符来实现的。

0 0
原创粉丝点击