Verilog Using $readmem or $readmemh in Modelsim

来源:互联网 发布:java方法名称 编辑:程序博客网 时间:2024/06/08 19:10

Verilog提供了$readmemb和$readmemh命令来读ASCII格式文件,以初始化存储器内容。这个命令也可以在仿真中用来初始化Xilinx的BlockRAM或者SelectRAM元件。其语法格式如下:
$readmemb (“<design.mif>”,design_instance);
MIF是由coregen生成的存储器初始化文件,其内容由用户来定义。


在 Model Sim 6.1b裡面 若是要讀一個檔案到記憶體里模擬
可以使用 $readmemb or $readmemh 
$readmemb 為一個BIT的格式的讀檔函式 
$readmemh 則為一個 HEX格式的的讀檔函式
使用方法為 $readmem("filename",memory);
會讀進filename檔名的檔案,檔案格式則為


@xx YY
@xx 為記憶體定址使用HEX


YY 則為記憶體的內容依據 BIT/HEX 格式配置與實際記憶體大小
Example
memory 為 8BITS且使用 $readmemb 則輸入檔案內容如下
@00       1100_0000      0101_1101
@02       0001_1000      1111_0000
使用 $readmemh 則為
@00       C0 5D
@02       18      F0


當為 16BITS 且使用 $readmemh 則輸入檔案內容如下
@00      AA55 CC11
@02      4E67      5A3C


From:http://bbs.ednchina.com/BLOG_ARTICLE_159883.HTM

0 0
原创粉丝点击