由QSF生成SDC约束文件

来源:互联网 发布:网上交易软件下载 编辑:程序博客网 时间:2024/05/19 03:29

QSF是Quartus SettingsFile的缩写,包含了一个Quartus工程的所有约束,包括工程信息、器件信息、引脚约束、编译约束和用于ClassicTiming Analyzer的时序约束。

  SDC是Synopsys DesignConstraints的缩写,该文件用于TimeQuest TimingAnalyzer的时序约束和定制报告

在TimeQuest中把Classic TimingAnalyzer的约束语句转换为SDC是很容易的。在Constraints菜单下,执行Generate SDC File fromQSF即可。

上面这些文件可以分为五类:
   1. 编译必需的文件

    设计文件(.gdf、.bdf、EDIF输入文件、.tdf、verilog设计文件、.vqm、.vt、VHDL设计文件、.vht)、

    存储器初始化文件(.mif、.rif、.hex)、

    配置文件(.qsf、.tcl)、

    工程文件(.qpf)
   

  2. 编译过程中生成的中间文件(.eqn文件和db目录下的所有文件) 
    3.编译结束后生成的报告文件(.rpt、.qsmg等)
    4.根据个人使用习惯生成的界面配置文件(.qws等) 
    5.编程文件(.sof、.pof、.ttf等)
  上面分类中的第一类文件是一定要保留的;第二类文件在编译过程中会根据第一类文件生成,不需要保留;第三类文件会根据第一类文件的改变而变化,反映了编译后的结果,可以视需要保留;第四类文件保存了个人使用偏好,也可以视需要保留;第五类文件是编译的结果,一定要保留。
  在使用版本控制工具时,我通常保留第一类、第三类和第五类文件。但是第三类文件通常很少被反复使用。 
  所以,为了维护一个最小工程,第一类和第五类文件是一定要保留的。

  QuarutusII编译过程中,通常要顺序运行quartus_map、quartus_fit、quartus_asm、quartus_tan四个进程。其中前两个进程占用了编译时间的95%以上。手工修改这两个进程的优先级就可以实现调整编译速度的目的。

0 0
原创粉丝点击