systemverilog数据类型

来源:互联网 发布:防鼠公司知乎 编辑:程序博客网 时间:2024/05/20 12:20

systemverilog兼容一些c中的数据类型,也增加了一些特有的data types,具体可见此网页:https://www.doulos.com/knowhow/sysverilog/tutorial/datatypes/

对于integer:bituser-defined size,只具有两值状态:0 or 1bit [3:0] a_nibble;logicidentical to reg in every way,具有4值状态: 0,1,X or Z,
是verilog中reg与wire的综合,能代替两者
logic [7:0] a_byte;Non-integer types:
TYPEDescriptionExampletime64-bit unsignedtime now;shortreallike float in Cshortreal f;reallike double in Cdouble g;realtimeidentical to realrealtime now;

0 0
原创粉丝点击