[Hibernate]Access to DialectResolutionInfo cannot be null when 'hibernate.dialect' not set

来源:互联网 发布:宿舍破解版路由器mac 编辑:程序博客网 时间:2024/05/21 22:46

使用Hibernate官方文档上的以下代码进行测试时报出这个异常。

org.hibernate.HibernateException: Access to DialectResolutionInfo cannot be null when 'hibernate.dialect' not set

package org.hibernate.tutorial.util;import org.hibernate.SessionFactory;import org.hibernate.boot.registry.StandardServiceRegistryBuilder;import org.hibernate.cfg.Configuration;public class HibernateUtil {    private static final SessionFactory sessionFactory = buildSessionFactory();    private static SessionFactory buildSessionFactory() {        try {            // Create the SessionFactory from hibernate.cfg.xml            new Configuration().configure().buildSessionFactory(    new StandardServiceRegistryBuilder().build() );        }        catch (Throwable ex) {            // Make sure you log the exception, as it might be swallowed            System.err.println("Initial SessionFactory creation failed." + ex);            throw new ExceptionInInitializerError(ex);        }    }    public static SessionFactory getSessionFactory() {        return sessionFactory;    }}


后来修改成旧版本的如下代码异常消失:

Configuration cfg = new Configuration();SessionFactory sf = cfg.configure().buildSessionFactory();Session session = sf.openSession();

但是无参的buildSessionFactory方法在新版本中已经不推荐使用了,最后找到了解决办法:

Configuration cfg = new Configuration().configure();sessionFactory = cfg.buildSessionFactory(new StandardServiceRegistryBuilder().applySettings(cfg.getProperties()).build());


亲测不会再出现这个异常了。

0 0
原创粉丝点击