2015年兆芯面试题

来源:互联网 发布:医美网络咨询工作流程 编辑:程序博客网 时间:2024/05/28 15:24

要求:一个握手的模块,输入信号分别为en,ack,all_done;输出信号是req,done;要求如下en高电平有效时可以输出req信号,然后等待ack信号,收到ack信号后会发出done信号,模块的数量不定,当所有模块done信号军发送完毕后会接收到all_done信号,只有接收到aLL_done信号才可以发送下一次req信号。


难点在于第一次发送时只需要en有效即可,而下一次发送必须en和all_done同时有效,或者all_done已经有效过,en有效则发送req. done信号则直接与ack同步或者延时一个时钟周期即可。


module handshake(input clk, rst_n,en,all_done,ack,

                               output reg req, done);

reg flag,r_all;

always @(posedge clk or negedge rest_n)

if(!rst_n)begin

  flag<=1'b1;//判断是否为第一次发送;

  r_all<=1'b0; //是否接收到all_done信号

end

else if(all_done) begin

    r_all<=1'b1;//已接收到all_done信号置位

end

else if(done) begin

   r_all<=1'b0;//以完成发送,all_done信号清零

   flag<=1'b0;//完成第一次发送后即永久性清零。

end

always @(posedge clk or negedge rest_n)

if(!rst_n)begin

   req<=1'b0;

  done<=1'b0;

end

else begin

   if((en&flag) || (en&all_done) || (en &r_all)) 

         req<=1'b1;

   else  req<=1'b0;

  if(ack)

      done<=1'b1;

  else  done<=1'b0;

end

endmodule

最终的RTL视图为:

    

0 0
原创粉丝点击