(转载) 如何使用ModelSim對Megafunction或LPM作仿真? (SOC) (MegaCore) (ModelSim)

来源:互联网 发布:算法4和算法导论哪个好 编辑:程序博客网 时间:2024/05/22 04:52

下述方法使用的一个小技巧:这样做可以省去以后找寻库的繁琐操作

配置modelsim.ini文件
    这一步是为了将前面建立的库添加进系统库,以后就不用再重复添加了。
    ModelSim安装根目录下的配置文件modelsim.ini的只读属性去掉,用记事本或其他文本编辑程序打开。在[Library]下修改前面

添加的库的路径。注意修改后关闭并改回只读属性。
    注:第1步设置的仿真库路径必须在ModelSim的安装目录下才能事业能够此相对路径。
 altera_primitives = $MODEL_TECH/../altera/altera_primitives
 220model = $MODEL_TECH/../altera/220model
 altera_mf = $MODEL_TECH/../altera/altera_mf



转自:http://blog.sina.com.cn/s/blog_3fffde470100o2kz.html


使用ModelSim-Altera與其自帶的precompiled library作仿真
使用GUI
Step 1:
改變預設目錄

File -> Change Directory

Step 2:
建立work library

Step 3:
編譯Verilog

Compile -> Compile ...




modelsim_ae11 

Step 4:
開始仿真

Simulate -> Start Simulation

modelsim_ae11 

Step 4:
開始仿真

Simulate -> Start Simulation


在Design tab指定testbench。

modelsim_ae08

在Libraries tab,將Altera的precompiled library加入,220model是LPM、而altera_mf是Megafunction的precompiled library。完整的說明請參考ModelSim Precompiled Libraries。

modelsim_ae09

Step 5:
加入觀察信號

在Objects window按滑鼠右鍵,Add to Wave -> Signals in Region

Step 6:
執行仿真

設定300 ns,run。

modelsim_ae10


modelsim_ae11 

Step 4:
開始仿真

Simulate -> Start Simulation

0 0
原创粉丝点击