MUX 数据选择器

来源:互联网 发布:中文编程安卓版 编辑:程序博客网 时间:2024/05/16 09:58

MUX,数据选择器,是一种可以从多个输入信号中选择一个信号进行输出的器件。在HDL语言中,可以用case,if-else 或条件表达式条件?表达式1:表达式2等描述。当if-else 选择支项太多,最好别用if-else,因为if-else会产生优先级选择器,导致按顺序从第一个支项执行到最后一个,互相级联,critical path太长。

它的电路图(circuit diagram) 如下:


 Select bits 与input data个数关系:

Select bits = log2#input data,若Select bits大于此位宽可通过数值表达式进行优化,减少Select bits。综合工具一般都能进行相关优化。

输入信号select signal, d 对电路复杂度的影响:

每个输入data的bit-width,决定将ANDgate, OR gate复制几遍;

select的bit-width,决定几个NOT gate, the number of AND gate for each input data 

0 0
原创粉丝点击