testbench的文件读写
来源:互联网 发布:阿里云企业邮箱登陆 编辑:程序博客网 时间:2024/05/19 18:17
reg [7:0] data_mem[0:255]; //定义一个8bit X 256的数组initial begin $readmemh("sin.txt",data_mem); //将sin.txt中的数据读入存储器data_mem end
后面就可以用data_mem作为你的测试数据了。例如可以通过以下代码,将data_mem的数据送给data_out:
always @(posedge clk)begin if(rst) begin data_out <= 8'd0; i <= 8'd0; end else begin data_out <= data_mem[i]; //将存储器中的数据输出 i <= i + 8'd1; endend
以下的Verilog语句实现将信号data_out的数据写入data_out.txt文件
integer w_file; initial w_file = $fopen("data_out.txt"); always @(i) begin $fdisplay(w_file,"%h",data_out); if(i == 8'd255) //共写入256个数据 $stop; end
1 0
- testbench的文件读写
- verilog testbench中 文本读写的操作
- Verilog testbench的写法之输入输出文件
- Verilog中testbench的设计,文件读取和写入操作
- Verilog的testbench入门
- testbench输入输出文件完结版
- testbench
- testbench
- Testbench
- testbench
- testbench
- Testbench
- VHDL的testbench的编写
- VHDL的testbench的编写
- Verilog HDL的Testbench简介
- 如何编写testbench的总结
- Perl 产生testbench的框架
- Testbench书写的小要点
- android studio Unable to load class 'org.codehaus.groovy.runtime.typehandling.ShortTypeHandling'
- iOS下编译x264
- mysql设置外网ip访问
- JDK环境变量设置详解
- win2008R2安装Epson630K共享打印 64位 32位通吃!
- testbench的文件读写
- LintCode-打劫房屋
- MySQL the DATE, DATETIME and TIMESTAMP Types
- javaweb中的验证码实现
- Windows Socket五种I/O模型
- history命令小技巧
- TCP/IP数据包的认识
- 第12周项目1 教师兼干部类
- PowerShell FTP客户端上传下载模块的编写