synopsys DC

来源:互联网 发布:威客网络兼职 编辑:程序博客网 时间:2024/06/06 20:17

在synopsys DC中,在generate中,每个for 都要加begin   end包住logic。

综合后的error,warning报告日志在文件 /synopsys/slot_separate/synthesis/synlog中。综合后要检查synlog是否有error,critical warning;并检查design_check_link.log中是否有Warning: Unable to resolve reference, 只有消除这些后综合结果才正确。

0 0
原创粉丝点击