something about set the FSDB file

来源:互联网 发布:2016网络经典小说 编辑:程序博客网 时间:2024/05/20 11:53


fsdbDumplimit - 限制FSDB文件size
-- $fsdbDumpvars([<level>],< scope | signal>*)

fsdbDumpfile - 指定FSDB文件名
-- $fsdbDumpfile(“<FSDB name>”)

fsdbDumpvars - Dump指定的变量
--
fsdbDumpSingle - Dump指定的信号

fsdbDumpvariable - Dump指定的VHDL变量

fsdbSwitchDumpFile - 将dumping切换到另一个FSDB文件
-- $fsdbSwitchDumpFile(“<new FSDB name>”)

fsdbAutoSwitchDumpfile - 限制文件大小并在数据量过大时自动创建新的FSDB文件
-- $fsdbAutoSwitchDumpfile(<file size>, “<FSDB name>”,< number of file>)

fsdbDumpflush - Force to Dump Result to FSDB file

fsdbDumpMem - Dump 指定的memory的内容
-- $fsdbDumpMem(<reg name>, [<start addr>, [<size>]])

$fsdbDumpon - 打开 FSDB dumping

$fsdbDumpoff - 关闭 FSDB dumping

产生FSDB波形文件的若干技巧
2007年12月05日 星期三 09:30

fsdbDumplimit - 限制FSDB文件size
-- $fsdbDumpvars([<level>],< scope | signal>*)

fsdbDumpfile - 指定FSDB文件名
-- $fsdbDumpfile(“<FSDB name>”)

fsdbDumpvars - Dump指定的变量
--
fsdbDumpSingle - Dump指定的信号

fsdbDumpvariable - Dump指定的VHDL变量

fsdbSwitchDumpFile - 将dumping切换到另一个FSDB文件
-- $fsdbSwitchDumpFile(“<new FSDB name>”)

fsdbAutoSwitchDumpfile - 限制文件大小并在数据量过大时自动创建新的FSDB文件
-- $fsdbAutoSwitchDumpfile(<file size>, “<FSDB name>”,< number of file>)

fsdbDumpflush - Force to Dump Result to FSDB file

fsdbDumpMem - Dump 指定的memory的内容
-- $fsdbDumpMem(<reg name>, [<start addr>, [<size>]])

$fsdbDumpon - 打开 FSDB dumping

$fsdbDumpoff - 关闭 FSDB dumping

0 0
原创粉丝点击