systemverilog中$value$plusargs的用法

来源:互联网 发布:天猫精灵 小爱 知乎 编辑:程序博客网 时间:2024/05/31 19:09
package pa;
......
endpackage


program pr;
import pa::*;
......;
initial begin
......;
void'($value$plusargs("s1 = %s", s));
$display("s1 is %s=n", s);

#1000;
$exit();
end
endprogram


//Makefile--------------------------------------------------------------
all: clean comp run
#compile cmd
comp:
vcs -sverilog $value$plusargs.sv


#simulation cmd
run:
./simv +s1 = "Hello" -l run.log


#clean cmd
clean:
\rm -rf simv* csrc *.key

//run.log---------------------------------------------------------------
s1 is Hello
0 0
原创粉丝点击