FPGA 闪烁LED

来源:互联网 发布:mysql 连接远程数据库 编辑:程序博客网 时间:2024/04/30 05:38
module LED( clk,led );//clk是50MHz的晶振产生的信号input clk;output[3:0] led;reg[25:0] count;reg[3:0] led;always@(posedge clk)begin count<=count+1;  if(count==25'd25000000)beginled<=~led; count<=0;end   endendmodule 

0 0
原创粉丝点击