modelsim仿真wave中数据变量导出到txt文档
来源:互联网 发布:浏览器打不开淘宝 编辑:程序博客网 时间:2024/06/06 12:38
试过几种网上说的方法,就这个试通了。在verilog程序中添加相对应的代码即可。
reg [7:0] i =0;always @ (posedge clk)beginif (!rst_n)i <=0;else if (i<255) i <= i+1;elsei<= 255;endinteger w_file; initial w_file = $fopen("data_out_1.txt"); always @(i) begin $fdisplay(w_file,"%d",rom_data_I); if(i == 8'd254) //共写入254个数据 $stop; end
需要说明,%d输出的是十进制数据, %h输出的是十六进制数据
如果,rom_data_I是 wire signed[8:0]rom_data_I; 声明过的,定义为有符号数,则在txt文件中保存的就是有符号数,否则,默认为无符号数。
0 0
- modelsim仿真wave中数据变量导出到txt文档
- Excel数据导出到txt文档
- Oracle导出数据到txt中
- C++输出数据到TXT文档中
- 把数据库中的内容导出到txt文档中
- 数据导出到TXT文件
- sql 数据导出到txt
- bcp 导出数据到txt
- 数据导出到TXT文件
- MySQL导出数据到txt
- SQL导出数据到TXT
- 从mysql中导出一列数据到txt
- Modelsim仿真tcl脚本与wave.do文件
- 将txt文档数据导入到excel中
- C++ 将数据导入到CSV,txt文档中
- 将数据库内表中的数据导出到txt文档中,并且显示一个对话框,提示用户保存文件的位置
- 导出数据到EXCEL文档
- Delphi导出数据库数据到TXT
- JS原型
- Ajax初学体会
- git 回退到某版本后,再在此版本上更新,无法push
- eclipse 32位换成64位 maven tomcat svn 集成
- Spring Cache
- modelsim仿真wave中数据变量导出到txt文档
- PHP常用函数之-数组
- TANBOB新一代网络模型
- 选择和插入排序
- MAC下parallels虚拟机安装Ubuntu14.04 lts分辨率问题
- 解决无法docker镜像无法上传的问题
- 运放输入阻抗问题 op1177
- Spring关键词的理解
- [剑指offer]二叉树的下一个结点