modelsim仿真wave中数据变量导出到txt文档

来源:互联网 发布:浏览器打不开淘宝 编辑:程序博客网 时间:2024/06/06 12:38

试过几种网上说的方法,就这个试通了。在verilog程序中添加相对应的代码即可。

reg [7:0] i =0;always @ (posedge clk)beginif (!rst_n)i <=0;else if (i<255)   i <= i+1;elsei<= 255;endinteger w_file;    initial w_file = $fopen("data_out_1.txt");    always @(i)    begin        $fdisplay(w_file,"%d",rom_data_I);        if(i == 8'd254)    //共写入254个数据            $stop;    end    
需要说明,%d输出的是十进制数据, %h输出的是十六进制数据
如果,rom_data_I是 wire  signed[8:0]rom_data_I; 声明过的,定义为有符号数,则在txt文件中保存的就是有符号数,否则,默认为无符号数。

0 0