锁存器不爱触发器
来源:互联网 发布:京东全球购奶粉 知乎 编辑:程序博客网 时间:2024/04/30 20:04
锁存器是什么:对脉冲电平敏感的存储单元电路,在特定输入脉冲作用下改变状态。锁存,把信号暂存以维持某种电平状态 。
锁存器最主要的作用是缓存,其次完成高速的控制器与慢速的外设的不同步问题,再其次是解决驱动的问题,最后是解决一个 I/O口既能输出也能输入的问题。在某些运算器电路中有时采用锁存器作为数据暂存器。
一个八位锁存器的VHDL语言设计:
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity latch8 isport( din:in std_logic_vector(7 downto 0); en,le:in std_logic; dout:out std_logic_vector(7 downto 0) );end;architecture one of latch8 is signal bufferd:std_logic_vector(7 downto 0); begin process(en,le) begin if en='1' then bufferd<=din; end if; if le='0'then dout<=bufferd; else dout<="ZZZZZZZZ"; end if; end process; end;
然后再来一个简单的D触发器,触发器也就是多了一个时序信号。
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity shi isport( D:in std_logic; clk:in std_logic; dout:out std_logic );end;architecture one of shi is signal bufferd:std_logic; begin process(clk,bufferd) begin if clk'event and clk='1' then bufferd<=D; end if; end process; dout<=bufferd; end;
就到这里吧,宝宝好心累~是时候好好玩一会啦~哈哈~
0 0
- 锁存器不爱触发器
- 不爱
- 不爱重复
- 如果不爱
- 不爱以后
- 爱 & 不爱
- 不爱收尾
- 锁存器.触发器.寄存器
- 锁存器.触发器.寄存器
- 锁存器 触发器 寄存器 区别
- [转]触发器-锁存器-亚稳态
- 晶体管-门电路-锁存器-触发器
- 触发器
- 触发器
- 触发器
- 触发器
- 触发器
- 触发器
- table 边框显示设置和js显示无图片样式
- REDIS 学习(9)读写分离,redis主从配置
- jquery + json 操作
- Delphi中StrToDateTime函数TFormatSettings参数的使用
- Linq to DataTable 操作
- 锁存器不爱触发器
- php返回json数据
- ASP.NET中使用System.Net.Mail发邮件
- android仿ios开关按钮
- 两个数组之间的冒泡排序
- ----- asp.net传递汉字处理
- easyui datagrid删除指定行checkbox 和禁用
- 第三周项目1 顺序表的基本运算(3)
- C# 遍历循环多维数组