锁存器不爱触发器

来源:互联网 发布:京东全球购奶粉 知乎 编辑:程序博客网 时间:2024/04/30 20:04

锁存器是什么:对脉冲电平敏感的存储单元电路,在特定输入脉冲作用下改变状态。锁存,把信号暂存以维持某种电平状态 。
锁存器最主要的作用是缓存,其次完成高速的控制器与慢速的外设的不同步问题,再其次是解决驱动的问题,最后是解决一个 I/O口既能输出也能输入的问题。在某些运算器电路中有时采用锁存器作为数据暂存器。
一个八位锁存器的VHDL语言设计:

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity latch8 isport(     din:in std_logic_vector(7 downto 0);     en,le:in std_logic;     dout:out std_logic_vector(7 downto 0)     );end;architecture one of latch8 is   signal  bufferd:std_logic_vector(7 downto 0); begin    process(en,le)    begin    if en='1' then bufferd<=din;    end if;    if le='0'then dout<=bufferd;    else dout<="ZZZZZZZZ";    end if;  end process; end; 

然后再来一个简单的D触发器,触发器也就是多了一个时序信号。

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity shi isport(     D:in std_logic;     clk:in std_logic;     dout:out std_logic     );end;architecture one of shi is   signal  bufferd:std_logic; begin    process(clk,bufferd)    begin    if clk'event and clk='1' then bufferd<=D;    end if;    end process;    dout<=bufferd;  end; 

就到这里吧,宝宝好心累~是时候好好玩一会啦~哈哈~

0 0
原创粉丝点击