使用fsdbdebug抽取fsdb文件中的信号列表

来源:互联网 发布:九九乘法表 java 编辑:程序博客网 时间:2024/06/05 20:59

使用fsdbdebug抽取fsdb文件中的信号列表

假设你有一个名叫 Your_FSDB_FIile_name.fsdb 的FSDB格式 波形文件,当你想知道这里面包含了多少信号的信息时,可以使用如下的命令抽取FSDB文件中的信号列表:

fsdbdebug -hier_tree Your_FSDB_FIile_name.fsdb

当然,你也可以通过命令

fsdb2vcd -i Your_FSDB_FIile_name.fsdb -o New_VCD_File_name.vcd

将FSDB文件转换成VCD文件(纯文本)之后, 写脚本抽取信号列表。
如果不想自己写脚本的话,也可以使用下面的Perl库来做:

http://search.cpan.org/~gsullivan/Verilog-VCD-0.07/lib/Verilog/VCD.pm

0 0
原创粉丝点击