了解ModelSim

来源:互联网 发布:淘宝搜索不到东西 编辑:程序博客网 时间:2024/05/16 13:44

概述

Modelsim仿真工具是Model公司开发的。它支持Verilog、VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow窗口查看某一单元或模块的输入输出的连续变化等,比quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。

对于初学者,modelsim自带的教程是一个很好的选择,在Help->SE PDF Documentation->Tutorial里面.它从简单到复杂、从低级到高级详细地讲述了modelsim的各项功能的使用,简单易懂。但是它也有缺点,就是它里面所有事例的初期准备工作都已经放在example文件夹里,直接将它们添加到modelsim就可以用,它假设使用者对当前操作的前期准备工作都已经很熟悉,所以初学者往往不知道如何做当前操作的前期准备。

lib desc floatfixlib none mc2_lib none mtiAvm none mtiOvm none mtiPA none mtiUPF none mtiUvm none sv_std none vital2000 none ieee none modelsim_lib none std none std_developerskit none synopsys none verilog none

参考资料

  • Modelsim初级使用教程
  • 百度文档:ModelSim教程
  • FPGA的那些事儿
  • Modelsim的demo入门教程
0 0
原创粉丝点击