基于FPGA的数码管显示出租车计费器

来源:互联网 发布:.gs域名不能注册 编辑:程序博客网 时间:2024/04/29 09:33

 

基于FPGA简易出租车计价器设计技术规范

 

 

 

 

 

专业:集成电路设计与集成系统

班级:电路1401班

姓名:童峥

学号:05146034

 

 

 

 

 

一、 功能描述:

本设计基于FPGA设计并使用Verilog HDL硬件描述语言,具体功能如下:

基本功能:

1. 按行驶里程收费,起步价为12.00元,并在车行3公里后再按4元/公里计算车费。

2. 实现模拟功能:能模拟汽车启动、停止。

3. 设计动态扫描电路:将车费、里程、等待时间动态的显示出来。

4. VerilogHDL语言设计符合上述功能要求的出租车计费器,并用层次化设计方法设计该电路。

5. 各计数器的计数状态用功能仿真的方法验证,并通过有关波形确认电路设计是否正确。

附加功能:

1. 增加了晚上计费功能和等待功能。晚上起步价为15.00元,并在车行3公里后再按5元/公里计算车费。车白天停止超过3分钟后按1元/分钟计算,晚上超过3分钟按2元/分钟计算。

2. 增加空调费2元/分钟,燃油附加费1元。

3. 实现预置功能:能预置起步费、每公里收费、等待加费时间。

二、 结构框图

 

 

       

各模块的功能:

1. FPGA晶振电路产生50MHz时钟信号并输入。

2. 分频器:将时钟信号进行分频。

3. 行驶状态模块:将按键产生的脉冲转化为一种标志信号。在等待信号作用时,该模块可以记录等待的时间,并产生等待计费的信号。

4. 里程计数模块:在等待信号未作用时,来一个时钟脉冲信号,里程值加一。车费计数模块:按行驶里程收费,分为白天和黑夜。白天收费标准:起步价为9.00元,并在车行3公里后再按3元/公里计算车费。晚上收费标准:起步价为15.00元,并在车行3公里后再按5元/公里计算车费。车白天停止超过3分钟后按1元/分钟计算,晚上超过3分钟按2元/分钟计算。

5. 总输出控制模块:分时输出里程、等待时间、费用三个信号,实现动态显示功能。

6. 译码显示模块:实现将车费计数模块、行驶状态模块和里程计数模块输出的BCD码转换成七段码输出。

三、 引脚描述

Start

输入信号

开始计费信号

run

输入信号

状态信号

Clock

输入信号

输入时钟脉冲

Timercount

输出信号

等待时间

Price

输出信号

总费用

Clkout

输出信号

输出里程

Day

输入信号

/白天费用切换

四、 接口时序


0 0
原创粉丝点击