systemverilog语法(四)

来源:互联网 发布:部队网络保密红线讨论 编辑:程序博客网 时间:2024/04/27 20:14

十三:systemverilog断言(SVA)
1、 立即断言:检测设计或者测试模块中信号的正确性
例:检测grant信号的正确性
这里写图片描述
如果正确产生grant信号,则继续执行,若不符合期望值,则报错。报错信息如下:
这里写图片描述
2、 定制断言行为:如果想改变默认的消息,可以添加自己的输出信息。
Systemverilog有4个输出消息的函数:info,warning,errorfatal
例: 这里写图片描述
报错信息如下:
这里写图片描述
3、 并发断言:你可以认为它是一个连续运行的模块,为整个仿真过程检查信号的值。
例:这里写图片描述

0 0