全平台轻量级 Verilog 编译器 & 仿真环境

来源:互联网 发布:复式记账软件 编辑:程序博客网 时间:2024/05/17 19:22

一直苦于 modelsim 没有Mac版本,且其体量过大,在学习verilog 时不方便使用。

终于找到一组轻量级且全平台 ( Linux+Windows+macOS ) 的编译仿真工具组。

Icarus Verilog + gtkwave

两者为轻量级verilog编译工具,一共不超过20M。

wiki用户指南链接: iverilog

安装工具

Mac利用Homebrew安装,跨平台有winlinux版本。

Mac下安装命令如下:

brew install icarus-verilogbrew install caskroom/cask/gtkwave

使用实例

testbanch 文件中加入:

$dumpfile("dff.vcd");$dumpvars;

利用iverilog进行编译,例如

iverilog Mux2to1_bhl.v test_Mux2to1.v

利用vvp进行运行

vvp a.outVCD info: dumpfile dff.vcd opened for output.

利用gtkwave查看仿真波形

这里写图片描述

其他功能

利用-tvhdl 参数转为vhdl代码 (注意这玩意-o要放在前面)

iverilog -tvhdl -o my_design.vhd my_design.v

还有例如利用Xilinx库,可以查看wiki

5 0