UVM的构造函数new的个人理解

来源:互联网 发布:linux 窗口编程工具 编辑:程序博客网 时间:2024/05/29 18:36

  • 构造函数new
  • 自己总结的规律
  • 理解

结合UVM实战这本书的阅读理解。
归纳一下自己对new函数的个人理解;只是书本前几章阅读后的认知,还不能完善,在此只为记录防丢失。

构造函数new

一般类的实例化,包含类的声明和类的构造。
比如,

my_driver drv;drv=new();

drv可以看做是一个句柄,一个指针,一个内存入口地址。这个指向的内存入口地址,存放着构造实现类的对象的初始化信息,包括变量、函数、task等。

每一个自己定义的类,都要声明function new;可以在这个函数里,增加变量、函数、task的信息,方便以后类的实例化过程,形成不同的对象。

上述类和对象的关系,举个例子,鸟类,定义构造函数function new,其中包含羽毛颜色等信息;然后在具体实例化乌鸦时,会产生一个对象叫乌鸦,并可以在实例化乌鸦时,设置羽毛颜色属性为黑。

自己总结的规律

在UVM实战这本书的源代码例子里,发现如下规律:
1. uvm_object里的function new,只有一个参数叫name
2. uvm_component里的function new,有两个参数,name和parent
3. 由uvm_component继承的类,其实例化代码写法均类似

drv=my_driver::type_id::create("drv",this)

理解

  1. uvm_object里的function new,只有一个参数叫name
  2. uvm_component里的function new,有两个参数,name和parent
    上面两句的意思,是因为UVM树形层次结构(只有component才有此层次结构)导致的。
    name参数的意义就是实例化的名称。
    parent参数的意义就是UVM树形的上一层次,通常都是this;这表示当前层次下实例化了一个component组件。
    换句话说,正是由于function new里多了一个parent参数,才有UVM树形层次结构的实现。
  3. 由uvm_component继承的类,其实例化代码写法均类似
drv=my_driver::type_id::create("drv",this)

这是因为UVM把实例化过程,封装成了如上代码形式(利用的是factory机制);相比new的实例化方式,增加了factory机制带来的重载能力。

如此,UVM的构造函数new和UVM树形结构,应该不在模糊,有了自己的认识。极大提高了看UVM代码的效率。

0 0
原创粉丝点击