最小系统zynq petalinux移植学习

来源:互联网 发布:免费会员软件 编辑:程序博客网 时间:2024/05/16 12:35

安装完petalinux16.4后,按照15.4经验要source 一下SDK目录下的setting的shell

安装vivado在家目录,但是必须要root权限,重点是发现安装后桌面竟然没有软件图标,

桌面快捷方式按照baidu的方法,切换到root账户,进入/usr/share/applications

vim vivado.desktop文件,写入

[Desktop Entry]
Encoding=UTF-8
Name=SDK 2016.4
Comment=SDK 2016.4
Exec=/home/vision/pkg/SDK/2016.4/bin/xsdk
Icon=/home/vision/pkg/SDK/2016.4/data/sdk/images/sdk_logo.png
Terminal=false
StartupNotify=true
Type=Application
Categories=Application;Development;

保存

vim SDK.desktop文件,写入

[Desktop Entry]
Encoding=UTF-8
Name=Vivado 2016.4
Comment=Vivado 2016.4
Exec=/home/vision/pkg/Vivado/2016.4/bin/vivado
Icon=/home/vision/pkg/Vivado/2016.4/doc/images/vivado_logo.png
Terminal=false
StartupNotify=true
Type=Application
Categories=Application;Development;

保存

具体含义可以百度,vivado安装目录是/home/vision/pkg/,然后桌面搜索到快捷方式,拖拽到桌面。发现SDK运行不了

****** Xilinx Software Development Kit
****** SDK v2016.4 (64-bit)
  **** SW Build 1756540 on Mon Jan 23 19:11:19 MST 2017
    ** Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.

Launching SDK with command /home/vision/pkg/SDK/2016.4/eclipse/lnx64.o/eclipse -vmargs -Xms64m -Xmx512m -Dorg.eclipse.swt.internal.gtk.cairoGraphics=false

百度SDK16.04启动问题,找到17年3月份的解决方法比国外说的的简单明了,无耻复制黏贴,留给自己做笔记。

ubuntu 16.04 安装Vivado 2016.3无法启动SDK,在相应SDK的安装目录下:Xilinx/SDK/2016.3/eclipse/lnx64.o/eclipse.ini中的-vmargs上面添加:
--launcher.GTK_version
2
实际eclipse.ini格式如下:
-startup
plugins/org.eclipse.equinox.launcher_1.3.100.v20150511-1540.jar
--launcher.library
plugins/org.eclipse.equinox.launcher.gtk.linux.x86_64_1.1.300.v20150602-1417
-showsplash
com.xilinx.sdk.product
--launcher.GTK_version
2
-vmargs
-Xms64m
-Xmx512m

启动vivado,建立网口,内存,串口和cpu的最小系统导出hdf文件

之前遇到过petalinux-config 出了问题,各种怀疑,ERROR:提示发现找不到xsdb,source SDK目录的setting的shell,后没有改善,后来才发现,这个xsdb不是SDK的xsdb是petalinux16.04特有的附属安装的一个SDK,具体后续慢慢研究,总之在这个地方怀疑人生了好久,系统都重新安装了两次,这是个不好的习惯,也就是观察这个版本的petalinux的安装文件是9G多,15.4的才1G多,才去关注petalinux最后的安装提示,才怀疑是已经可以不用再source vivado 里面SDK的setting的shell了,而是只要source 这个多出来的arm专用的setting,再source petalinux的shell,两个都要source。

于是就有了流畅的以下的输出,很遗憾,这个地方之前出错的地方,自己晕头转向的时候,重装系统把临时笔记弄丢了,好可惜。

vision@vision-ThinkPad-T440s:~/workspace/minisyslinux$ source ../../pkg/petalinux/components/yocto/source/arm/environment-setup-cortexa9hf-neon-xilinx-linux-gnueabi 
SDK environment now set up; additionally you may now run devtool to perform development tasks.
Run devtool --help for further details.


vision@vision-ThinkPad-T440s:~/workspace/minisyslinux$ source ../../pkg/petalinux/settings.sh 
PetaLinux environment set to '/home/vision/pkg/petalinux'
INFO: Checking free disk space
INFO: Checking installed tools
INFO: Checking installed development libraries
INFO: Checking network and other services
vision@vision-ThinkPad-T440s:~/workspace/minisyslinux$ petalinux-config --get-hw-description=/home/vision/workspace/minisystem/minisystem.sdk/minisys_wrapper_hw_platform_0 
INFO: Getting hardware description...
[INFO] generating Kconfig for project
                                                                                
[INFO] menuconfig project
/home/vision/workspace/minisyslinux/build/misc/config/Kconfig.syshw:30:warning: defaults for choice values not supported
/home/vision/workspace/minisyslinux/bjiejuuild/misc/config/Kconfig:546:warning: config symbol defined without type




*** End of the configuration.
*** Execute 'make' to start the build or try 'make help'.


[INFO] sourcing bitbake
[INFO] generating plnxtool conf
[INFO] generating meta-plnx-generated layer
~/workspace/minisyslinux/build/misc/plnx-generated ~/workspace/minisyslinux
~/workspace/minisyslinux
[INFO] generating machine configuration
[INFO] generating bbappends for project . This may take time ! 
~/workspace/minisyslinux/build/misc/plnx-generated ~/workspace/minisyslinux
~/workspace/minisyslinux
[INFO] generating u-boot configuration files
                                                                                
[INFO] generating kernel configuration files
[INFO] generating kconfig for Rootfs
Loading cache: 100% |###########################################| ETA:  00:00:00
Loaded 2937 entries from dependency cache.
Parsing recipes: 100% |#########################################| Time: 00:00:03
Parsing of 2325 .bb files complete (2285 cached, 40 parsed). 2940 targets, 196 skipped, 0 masked, 0 errors.
NOTE: Resolving any missing task queue dependencies
NOTE: Preparing RunQueue
NOTE: PN build list saved to 'pn-buildlist'
NOTE: PN dependencies saved to 'pn-depends.dot'
NOTE: Package dependencies saved to 'package-depends.dot'
NOTE: Task dependencies saved to 'task-depends.dot'
Generate rootfs kconfig
[INFO] oldconfig rootfs
[INFO] generating petalinux-user-image.bb
vision@vision-ThinkPad-T440s:~/workspace/minisyslinux$ petalinux-build 
[INFO] building project
[INFO] sourcing bitbake
INFO: bitbake petalinux-user-image
Loading cache: 100% |###########################################| ETA:  00:00:00
Loaded 2938 entries from dependency cache.
Parsing recipes: 100% |#########################################| Time: 00:00:03
Parsing of 2325 .bb files complete (2291 cached, 34 parsed). 2940 targets, 196 skipped, 0 masked, 0 errors.
NOTE: Resolving any missing task queue dependencies
NOTE: Preparing RunQueue
NOTE: Checking sstate mirror object availability (for 597 objects)
NOTE: Executing SetScene Tasks
NOTE: Executing RunQueue Tasks
NOTE: Tasks Summary: Attempted 1810 tasks of which 1326 didn't need to be rerun and all succeeded.
INFO: generating FIT Image
INFO: bitbake petalinux-user-image -c do_image_xilinx_fitimage -R /home/vision/workspace/minisyslinux/build/conf/fit-image.conf
Parsing recipes: 100% |#########################################| Time: 00:01:50
Parsing of 2325 .bb files complete (0 cached, 2325 parsed). 2940 targets, 196 skipped, 0 masked, 0 errors.
NOTE: Resolving any missing task queue dependencies
NOTE: Preparing RunQueue
NOTE: Checking sstate mirror object availability (for 73 objects)
NOTE: Executing SetScene Tasks
NOTE: Executing RunQueue Tasks
NOTE: Tasks Summary: Attempted 1322 tasks of which 1307 didn't need to be rerun and all succeeded.
INFO: Copying Images from deploy to images
INFO: Creating images/linux directory
[INFO] successfully built project

开始研究petalinux 16.4生成的镜像相关文件,先不着急这配置QSPI启动,去看看config和build的log文件。

0 0
原创粉丝点击