基于RISC-V架构的开源处理器及SoC研究综述

来源:互联网 发布:王家卫表白方式 知乎 编辑:程序博客网 时间:2024/05/24 02:28

        RISC-V是加州大学伯克利分校(University of California at Berkeley,以下简称UCB)设计并发布的一种开源指令集架构,其目标是成为指令集架构领域的Linux,应用覆盖IoT(Internet of Things)设备、桌面计算机、高性能计算机等众多领域。其产生是因为UCB的研究人员在研究指令集架构的过程中,发现当前指令集架构存在如下问题。

  (1)绝大多数指令集架构都是受专利保护的,比如:x86、MIPS、Alpha,使用这些架构需要授权,限制了竞争的同时也扼制了创新。

  (2)当前的指令集架构都比较复杂,不适合学术研究,而且很多复杂性是因为一些糟糕的设计或者背负历史包袱所带来的。

  (3)当前的指令集架构都是针对某一领域的,比如:x86主要是面向服务器、ARM主要是面向移动终端,为此对应的指令集架构针对该领域做了大量的领域特定优化,缺乏一个统一的架构可以适用多个领域。

  (4)商业的指令集架构容易受企业发展状况的影响,比如:Alpha架构就随着DEC公司的被收购而几近消失。

  (5)当前已有的各种指令集架构不便于针对特定的应用进行自定义扩展。

  为此,UCB的研究人员Krste Asanovic、Andrew Waterman、Yunsup Lee决定设计一种新的指令级架构,并决定以BSD授权的方式开源,希望借此可以有更多创新的处理器产生、有更多的处理器开源,并以此降低电子产品成本。

  RISC-V自2014年正式发布以来,受到了包括谷歌、IBM、Oracle等在内的众多企业以及包括剑桥大学、苏黎世联邦理工大学、印度理工学院、中国科学院在内的众多知名学府与研究机构的关注和参与,围绕RISC-V的生态环境逐渐完善,并涌现了众多开源处理器及SoC采用RISC-V架构,这些处理器既有标量处理器,也有超标量处理器,既有单核处理器,也有多核处理器,本文接下来将简单介绍RISC-V架构的基本设计,随后将详细描述目前采用RISC-V架构的开源处理器与SoC。

  1 RISC-V简介1.1 RISC-V基本设计

  RISC-V是一个典型三操作数、加载-存储形式的RISC架构,包括三个基本指令集和6个扩展指令集,如表1所示,其中RV32ERV32I的子集,不单独计算

  

  基本指令集的名称后缀都是I,表示Integer,任何一款采用RISC-V架构的处理器都要实现一个基本指令集,根据需要,可以实现多种扩展指令集,例如:如果实现了RV32IM,表示实现了32位基本指令集和乘法除法扩展指令集。如果实现了RV32IMAFD,那么可以使用RV32G来表示,表示实现了通用标量处理器指令集。本文只介绍RV32I的基本情况。

  RV32I指令集有47条指令,能够满足现代操作系统运行的基本要求,47条指令按照功能可以分为如下几类。

  (1)整数运算指令:实现算术、逻辑、比较等运算。

  (2)分支转移指令:实现条件转移、无条件转移等运算,并且没有延迟槽

  (3)加载存储指令:实现字节、半字、字的加载、存储操作,采用的都是寄存器相对寻址方式。

  (4)控制与状态寄存器访问指令:实现系统控制与状态寄存器原子读-写、原子读-修改、原子读-清零等操作

  (5)系统调用指令:实现系统调用、调试等功能。

  1.2 RISC-V的优势1.2.1 与开源指令集架构比较

  RISC-V发布之前,实际上已经有几种开源指令级架构,包括SPARC V8OpenRISC,其中SUN发布的开源多核多线程处理器OpenSparcT1OpenSparcT2,以及欧空局的LEON3采用的就是SPARC V8OpenRISC也有同名的开源处理器,以下介绍了RISC-V与前两者的比较,如表2所示。此外,OpenRISC许可证为GPL,这意味着所有的指令集改动都必须开源。而RISC-V的许可证是较为宽松的BSD License授权

  

  1.2.2 与商业指令集架构比较

  UCB的研究人员设计了一款采用RISC-V指令集架构的开源处理器Rocket,并且已经成功流片了11次,其中采用台积电40nm工艺时的性能与采用同样工艺的,都是标量处理器的ARM Cortex-A5的性能对比如表3所示。可见Rocket占用更小的面积,使用更小的功耗,但是性能却更优

  

  基于RISC-V的开源处理器研究现状

  目前基于RISC-V架构的开源处理器有很多,标量处理器Rocket,也有超标量处理器BOOM,还有面向嵌入式领域的Z-scalePicoRV32等。

  2.1 标量处理器——Rocket

  RocketUCB设计的一款645级流水线单发射顺序执行处理器,主要特点有:

  • 支持MMU,支持分页虚拟内存,所以可以移植Linux操作系统

  • 具有兼容IEEE 754-2008标准的FPU

  • 具有分支预测功能,具有BTBBranch Prediction Buff)、BHTBranch History Table)、RASReturn Address Stack

  Rocket是采用ChiselConstructing Hardware in an Scala Embedded Language)编写的,这也是UCB设计的一种开源的硬件编程语言,是Scala语言的领域特定应用,可以充分利用Scala的优势,将面向对象(object orientation)、函数式编程(functional programming)、类型参数化parameterized types)、类型推断(type inference)等概念引入硬件编程语言,从而提供更加强大的硬件开发能力。Chisel除了开源之外,还有一个优势就是使用Chisel编写的硬件电路,可以通过编译得到对应的Verilog设计,还可以得到对应的C++模拟器Rocket使用Chisel编写,就可以很容易得到对应的软件模拟器。同时,因为Chisel是面向对象的,所以Rocket的很多类可以被其他开源处理器、开源SoC直接使用。

  Rocket已经被流片11次之多,其性能比较参考前文3

  2.2 超标量乱序执行处理器——BOOM

  BOOMBerkeley Out-of-Order Machine)是UCB设计的一款64位超标量乱序执行处理器,支持RV64G,也是采用Chisel编写,利用Chisel的优势,只使用了9000行代码,流水线可以划分为六个阶段取指、译码/重命名/指令分配、发射/读寄存器、执行、访存、回写

  借助于ChiselBOOM可参数化配置的超标量处理器,可配置的参数包括:

  • 取指、译码、提交、指令发射的宽度

  • 重排序缓存ROBRe-Order Buffer)、物理寄存器的大小

  • 取指令缓存、RASBTB、加载、存储队列的深度

  • 有序发射还是无序发射

  • L1 cache的路数

  • MSHRsMiss Status Handling Registers)的大小

  • 是否使能L2 Cache

  UCB已经在40nm工艺上对BOOM进行了流片,测试结果如表4所示。可见BOOM与商业产品ARM Cortex-A9的性能要略优,体现在面积小、功耗低

  

  2.3 处理器家族——SHAKTI

  SHAKTI是印度理工学院的一个计划,目标是设计一系列适合不同应用环境的、基于RISC-V的开源处理器,以及一些IP核,以便搭建SoC。这些处理器是E-ClassC-ClassI-ClassM-ClassS-ClassH-ClassT-ClassN-Class目前已经开源的是前三,使用Bluespec System Verilog编写。

  • E-Class:32位标量处理器,3级流水线,支持RISC-VCCompress)扩展,目标是超低功耗处理器。

  • C-Class:32位或者64位标量处理器,3-8级流水线,支持MMU、具有容错功能、支持RISC-VC扩展,目标也是超低功耗处理器。

  • I-Class:64位、1-8核,乱序执行处理器,共享L2 Cache、支持双线程、SIMD/VPU,目标是通用处理器。

  • M-Class:I-Class的增强版,增加了指令发射大小、支持四线程、最高支持16核,目标是通用处理器、低端服务器和移动应用。

  • S-Class:64位、超标量多线程处理器,支持L3 CacheRapidIOHMCHybrid Memory Cube)、向量处理单元,还有协处理器用于数据库访问、加密算法、机器学习,最高支持64核,目标是通用处理器、服务器。

  • H-Class:64位、32-128核、支持多线程、顺序或者乱序执行处理器,具有向量处理单元,目标是高性能计算。

  • T-Class:64或者128位处理器,其中通过为存储器引入Tag,从而增强其安全性。

  • N-Class:目标是通过自定义的扩展进行网络数据处理。

  2.4 嵌入式应用处理器——ORCA

  PicoRV32是由VectorBlox公司设计的一款32位标量处理器,目标是应用于嵌入式领域,采用VHDL编写,实现了RV32IM,也可以移除其中的M扩展,也就是移除乘法除法扩展,从而减少芯片占用资源,甚至可以移除与定时器有关的指令,从而仅仅实现RV32E。当将ORCA作为一个软核下载到FPGA上的时候,其资源占用与主频如表5所示。

  

  2.5 其他开源处理器

  1RI5CY

  RI5CY是由苏黎世联邦理工大学和波罗尼亚大学联合设计的一款小巧的4级流水线开源处理器,实现了RV32IC,以及RV32M中乘法指令mul,其目标是作为并行超低功耗处理器项目PULPParallel Ultra Low Power)的处理器核,所以RI5CYRISC-V的基础上增加了许多扩展,包括硬件循环、乘累加、高级算术指令等。采用UMC65nm工艺进行流片,RI5CY主频可以达到654MHz,动态功耗是17.5uW/MHz[6]。采用SystemVerilog编写。

  2RIDECORE

  RIDECORE (RIsc-v Dynamic Execution CORE) 是由东京工业大学设计发布的一款超标量乱序执行处理器,实现了RV32IM6级流水线,分别是取指、译码、指令分配、发射、执行、提交,可以同时取两条指令、对两条指令译码、提交两条指令。采用的是Gshare分支预测机制。

  3Hwacha

  Hwacha是由UCB开发的一款向量处理器,UCBHwacha作为RISC-V的一个非标准扩展Xhwacha,已经以28nm45nm的工艺流片多次,主频在1.5GHz以上,目前还在研发中,正在修改OpenCL的编译器,以适合HwachaUCB计划以开源的形式发布其代码。

  4f32c

  f32c是由萨格勒布大学设计发布的32位、5级流水线、标量处理器,原本实现的是MIPS指令集,后来添加实现了RISC-V指令集,处理器包括分支预测、直接映射缓存,同时发布的还有SDRAM控制器、SRAM控制器、视频FrameBufferSPI控制器、UARTGPIOIP,使用VHDL编写代码。使用f32c处理器核,萨格勒布大学发布了FPGArduino项目,该项目将一块FPGA开发板变为一个Arduino板,并且可以使用Arduino IDE进行程序编译下载。

  5Z-scale/V-scale

  Z-scaleUCB发布的针对嵌入式环境的32位、3级流水线、单发射标量处理器,实现了RV32IM,指令总线和数据总线都是AHB-LiteZ-scale采用是Chisel编写代码,利用Rocket中的代码,仅增加了604行代码就实现了Z-scaleV-scaleZ-scale对应的Verilog版本

  6sodor

  sodorUCB发布的针对教学的32位开源处理器系列,采用Chisel编码实现,可以很容易的得到对应的C++模拟器。sodor系列有五种处理器,分别是单周期处理器、2级流水线处理器、3级流水线处理器、5级流水线处理器、可执行微码的处理器。

  7PicoRV32

  PicoRV32是由RISC-V开发者Clifford Wolf设计发布的一款大小经过优化的开源处理器,实现了RV32IMC,并且根据不同环境可配置为实现RV32ERV32IRV32ICRV32IMRV32IMC。内置一个可选择的中断控制器。其特点是小巧,在Xilinx7系列芯片上占用750-2000LUT,速度可以达到250-400MHzPicoRV32采用Verilog编写代码。

  8Tom Thumb

  Tom Thumb是由RISC-V开发者maikmerten设计发布的一款32位、6级流水线开源处理器,实现了RV32I,目标是尽量减少FPGA的资源占用,在Cyclone IV系列FPGA大约占用资源1200 LEs。采用VHDL编写代码。

  9FlexPRET

  FlexPRET[7]是由UCB设计发布的5级流水线、多线程处理器,目标使用在实时嵌入式应用中,线程数量可配置为1-8。为了提高嵌入式处理器的资源利用率,每个硬件线程被标记为硬实时(hard real-time thread)或者软实时(soft real-time thread),硬实时线程按照固定的频率被调度,如果当前没有硬实时线程可调度,再调度软实时线程。使用Chisel编写代码。

  10YARVI

  YARVIYet Another RISC-V Implementation)是由RISC-V开发者Tommy Thorn设计发布的一款简单的、32位开源处理器,实现了RV32I,使用Verilog作为开发语言。其出发点不在于性能,而是要能够清晰、准确的实现RV32I

  基于RISC-V的开源SoC研究现状

       3.1 Rocket-Chip

  UCB为了方便用户学习,同时也为了便于重复使用已设计好的硬件模块,在GitHub上建立了Rocket-Chip Generator的项目,其中包括了ChiselGCCRocket处理器,以及围绕Rocket的一系列总线单元、外设、缓存等,并且采用了参数化的配置方法,从而可以方便的创建不同性能要求的基于Rocket处理器的SoC。采用Chisel编写,主要的子模块如下。

  • ChiselUCB设计的开源硬件编程语言。

  • Hardfloat:参数可配置的、兼容IEEE 754-2008标准的浮点单元。

  • Riscv-tools:开发工具,包括GCCNewlib,以及移植的Linux

  • RocketRocket处理器,包括L1 Cache

  • Uncore:实现了需要与Rocket紧密连接的功能单元,比如L2 CacheL1 Coherence Hub等。

  • Juntions:实现了不同协议的接口之间的转换。

  • Rocketchip:顶层模块,同时也实现了内部总线TileLink向外部总线AXI或者AHB的转换。

  前文介绍的BOOMZ-scale都可以通过配置Rocket-Chip的不同参数得到

  3.2 LowRISC

  LowRISC是由剑桥大学为主的一些研发人员成立的非营利性组织,主要是设计发布基于RISC-V指令集的64位开源SoC,其成员有树莓派的合作者,所以其目标是希望将设计的SoC做成类似于树莓派那样价格便宜、功能丰富、拥有大量用户的开源硬件LowRISC发布的SoC名称也是LowRISC,是在Rocket-Chip的基础上改进开发的,采用System Verilog编写改进部分的代码。主要特点是:

  (1)Tagged Memory:给每一个存储位置都增加了一个Tag,目前是双字(64bit)对应一个Tag4bit,目的是防止控制流劫持攻击,同时也有其他的一些用处,比如:垃圾回收、设置watchpoint等。为了实现Tagged MemoryLowRISCRISC-V增加了两条指令用来读写Tag20154月发布的0.1版本中具有该功能。

  (2)Untethered:早期的Rocket-Chip需要依赖于一个通用处理器的协助才能够启动,才能够访问串口、网口、SD卡等外设,Untethered LowRISC通过实现(Memory mapping I/O)、片上NASTI interconnect等功能,解决了上述问题。201511月发布的0.2版本中具有该功能。

  (3)Trace Debugging:引入了Open SoC Debug,支持Trace Debugging,可以收集指令执行记录,便于离线或者在线分析。20167月发布的0.3版本中具有该功能。

  3.3 PULPino

  PULPino是苏黎世联邦理工大学和波罗尼亚大学联合发布的基于RISC-V的开源处理器,其处理器核RI5CY在前文已述,苏黎世联邦理工大学和波罗尼亚大学本来设计的项目是PULP,这是一个多核SoC项目,考虑到这个项目太复杂,有许多IP、自定义工具集,不方便开源,所以开发者决定先开源一个单核SoC项目,即PULPinoPULPino直接使用了PULP项目的许多IP

  PULPino具有一个AXI互连总线,另外还有一个APB总线,用来连接低速外设,比如:GPIOUARTI2C控制器、SPI Master控制器等。调试模块支持Advanced Debug UnitPULPino包括一个Boot ROM,其中可以写入BootLoader,从而实现在启动的时候从外部Flash读入程序并执行

  3.4 RISC-V VHDL

  RISC-V VHDL是俄罗斯的GNSS Sensor公司发布的基于Rocket的开源SoC,其前身是莫斯科物理技术学院的一个项目。该项目的处理器核直接就用的是Rocket,可以配置为只有L1Cache,也可以配置为包括L2Cache在此基础上,提供了大量的IP核,采用类似LEON3GRLIB库的方式,所有的IP核都是即插即用,RISC-V VHDL提供了一个AXI总线,IP核都挂载在该总线上。IP核包括:UARTGPIO、中断控制器、以太网控制器,此外还支持DSUDebug Support Unit),均采用VHDL编写代码。

  RISC-V VHDL中大多数IP核都是开源的,唯一商业的是GNSSLIB,这是一个与定位导航有关的库,也是RISC-V VHDL的特色。

  结语

  RISC-V的发展十分迅速,除了前文已述的基于RISC-V的开源处理器、开源SoC大量涌现,还有很多的商用处理器也计划采用RISC-V指令集架构,比如PulSAR,采用的是一个异构多处理器结构,其中包括2个标量处理器Rocket,还包括两个超标量乱序执行处理器BOOM

  RISC-V的发展一方面得益于自身设计吸取了RISC接近40年的经验教训,使得架构设计更加合理,另一方面得益于日渐成熟的软件生态,UCB提供了针对RISC-V的开源编译器GCCLLVM,还提供了开源仿真器SpikeQEMU,社区还移植了FreeBSDDebianGentooYoctoGenode等操作系统。

  在第4RISC-V专题研讨会上宣布成立了RISC-V基金会,吸纳了众多实力雄厚的商业公司和知名研究机构,其中包括中国科学院,可以预见RISC-V即将进入一个快速发展的阶段,应该会在以下几个方面有突破进展

  (1)有若干成熟的、可商业化的、采用RISC-V架构的芯片问世,并得到大规模应用。

  (2)性能逼近主流桌面处理器。

  (3)主流处理器与采用RISC-V架构的开源处理器组成的异构系统。

  (4)移植到RISC-V架构的操作系统更加稳定可靠。

  (5)采用上百个简单RISC-V核的多核并行计算。

  (6)计算机教学中采用RISC-V作为范例教学。

  (7)调试功能得到进一步加强。

  对于国内而言,RISC-V提供了一个很好的参考,也是一个很好地机遇,有很多可借鉴的地方,用来实现自主可控的处理器。

0 0