Altera Modelsim仿真sim模板
来源:互联网 发布:淘宝注册商家有多少家 编辑:程序博客网 时间:2024/05/18 02:22
if {[file exists work]} {
file delete -force work
}
#Creat a work lib
vlib work
#vmap
vmap work work
#Compile the source files(下面不能空行)
vlog -novopt \
../src/test_pll.v\
../quartus/pll_ip/pll.v\
../tb/tb.v
#start simulator (即使在Modelsim中添加了相关库也必须在vsim时 -L 添加相关库进入。)
vsim -novopt -L 220model -L altera_mf -L altera_primitives work.tb
do wave.do
#编译相关的库
-y C:/lscc/diamond/3.4_x64/cae_library/simulation/verilog/ecp3 +libext+.v \
#链接相关的文件夹
+incdir+../drr3_ip_ddr 1_bank7/ddr_p_eval/testbench/tests/ecp3 \
阅读全文
0 0
- Altera Modelsim仿真sim模板
- modelsim-altera 仿真设置
- modelsim仿真altera器件
- ModelSim-Altera功能仿真和时序仿真
- ModelSim中Altera仿真库的添加
- 在modelsim中添加altera仿真库
- Quartusii 链接Altera-Modelsim进行功能仿真
- modelsim-altera 6.5b 破解和仿真应用
- modelsim环境下仿真altera NCO IP核
- Quartus ii与Modelsim-altera 6.5b联调前仿真
- 【实用方法】ModelSim中添加Altera仿真库
- modelsim 仿真 altera IP核(ROM,RAM实例)
- quartus仿真提示: Can't launch the ModelSim-Altera software
- Modelsim之时序仿真 设置 ModelSim中Altera仿真库的添加
- 【转】ModelSim SE仿真Altera库的一些问题 常见仿真错误 问题 合集
- Modelsim仿真的Run.do脚本模板
- 在modelsim里仿真用ALTERA的IP core 生成的RAM/ROM模块
- Modelsim下时序(功能)仿真altera IP核 程序(重要)
- FATE(HDU-2159)
- 设计模式学习笔记——简单工厂模式、工厂方法模式、抽象工厂模式
- 双向循环链表
- cocos 寻路 lua实现
- 一个关于数据库迁移的小错误
- Altera Modelsim仿真sim模板
- 暴力——BZOJ2783/Luogu3252 [JLOI2012]树
- 使用FFmpeg实现抠图合并功能(chroma key)
- C中struct类型以及指针链表
- Hibernate的HQL简介
- 单链表
- Java 8 Streams map() 例子
- 我是新手
- 值不能为空。参数名viewinfo(microsoft.sqlserver.management.sqlstudio.explorer)