在modelsim中添加altera仿真库

来源:互联网 发布:淘宝客服跟顾客对骂 编辑:程序博客网 时间:2024/05/17 05:50

在modelsim中添加altera仿真库

 
转载

  

  我们要建四种库:(1)lpm,调用了lpm 元件的设计仿真时需要;(2)altera_mf,调用了Altera 的MegaFunction 的设计的仿真时需要;( 3 )altera_primitive,调用了Altera 的原语(primitive)的设计的仿真时需要;(4)元件库,例如cyclone. 在仿真中必用的特定型号的FPGA/CPLD 的库。前三种库是调用了相应的ALTERA 设计模块的设计进行仿真时必备的库。第四种库是进行综合后功能仿真和布线后时序仿真必备的库。

  上面是资料中说的,但真正添加后,编译时提示没有sgate库,也不知道这个库具体有什么功能(明天上班后问问),于是又添加了这个库。(先编译的这个库)

  在编译元件库时又提示找不到altera库,于是又把lmp、altera_mf、primitive中的文件都添加到altera的文件夹内编译,编译通过建立了altera库后再编译元件库时不再提示错误。

 

  在modelsim的安装目录下新建了一个altera_lib的文件夹,文件夹内又新建了lmp、sgate、altera_mf、altera、primitive、arriaii(我用的是arriaii的器件)五个文件夹,如下图

   在modelsim中添加altera仿真库

然后打开modelsim软件,在file》new中新建立一个project,如下图,注意project nanme和project location的命名和路径选择。

  在modelsim中添加altera仿真库

点OK后,在工程中添加源文件。源文件都在Quartus II的安装目录的\eda\sim_lib里,比如我的 D:\altera\91\quartus\eda\sim_lib

  lmp库源文件:对 Verilog 和VHDL,分别选以下的文件:
       ■ 220model.v (Verilog HDL)
       ■ 220pack.vhd and 220model.vhd (VHDL)

  sgate源文件:

       ■ sgate.v  (for Verilog HDL)

       ■sgate.vhd和sgate_pack.vhd  (for VHDL)

  altera_mf库源文件:

       ■ altera_mf.v (for Verilog HDL)

0 0
原创粉丝点击